多功能信号发生器的设计与实现

多功能信号发生器的设计与实现
多功能信号发生器的设计与实现

题目多功能信号发生器的设计与实现学生姓名王振华学号 1213014069所在学院物理与电信工程学院

专业班级电子信息工程

指导教师梁芳

完成地点物理与电信工程学院实验室

2016 年 6 月 2 日

多功能信号发生器的设计与实现

王振华

(陕西理工学院物理与电信工程学院电子信息工程专业,2012级3班,陕西汉中 723000)

指导教师:梁芳

[摘要]本文介绍的是利用STC12C5A60S2单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC12C5A60S2的基础理论,以及与设计电路有关的各种芯片。着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。本设计核心任务是:以STC12C5A60S2为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。

[关键词]单片机; LCD1602;信号发生器;DAC0832

Design and implementation of multi function signal

generator

Author:Zhenhua Wang

(Grade 12,Class 03,Major in Electronics & Information engineering ,Physics & Telecommunications engineering Dept., Shaanxi University of Technology,Hanzhong 723000,Shaanxi)

Tutor: Fang Liang

Abstract:This article describes the STC12C5A60S2 microcontroller and digital to analog converter DAC0832 to produce the desired signal of the low frequency signal source, the signal amplitude and frequency can be controlled as required. The article briefly describes the structure of principles and use of the DAC0832 digital-to-analog converter, the STC12C5A60S2 basic theory and design of circuits a variety of chips. The paper focuses on how to use microcontroller to control the D / A converter to produce the hardware and software programming of the above signals. The signal frequency range is also adjustable as required.The core of the design tasks are: STC12C5A60S2 as the D / A converter and DAC0832 devices, circuit simulation software, design hardware drivers written in C, in order to achieve process control to produce sine wave, triangle wave, square wave, three commonly used low-frequency signals. Waveforms and enter any frequency value can be selected via the keyboard.

Key Words:on STC12C5A60S2 function waveform generator DAC0832 square wave, triangle wave, sine wave,sawtooth wave

目录

1 引言 (1)

1.1 目的和意义 (1)

1.2 研究概况及发展趋势 (1)

1.3 本系统主要功能 (2)

2. 总体方案论证与设计 (3)

2.1主控模块的选型和论证 (3)

2.2显示模块的选型和论证 (3)

2.3信号产生模块的选型和论证 (3)

2.4程控放大模块的选型和论证 (4)

2.5负压产生模块的选型和论证 (4)

2.6系统整体设计概述 (4)

3.系统硬件电路设计 (5)

3.1主控模块 (5)

3.1.1 STC12C5A60S2单片机主要特性 (5)

3.1.2 STC12C5A60S2单片机的中断系统 (7)

3.1.3 单片机最小系统设计 (8)

3.2 LCD液晶显示器简介 (8)

3.2.1 液晶原理介绍 (8)

3.2.2液晶模块简介 (9)

3.2.3液晶显示部分与STC12C5A60S2的接口 (9)

3.3键盘模块设计 (10)

3.4信号产生模块设计 (11)

3.4.1 直接数字合成技术介绍 (11)

3.4.2 DAC0832芯片介绍 (12)

3.4.3 DAC0832波形发生电路设计 (13)

3.5程控放大模块的设计 (13)

3.6 负压转换电路设计 (14)

4.系统软件设计 (15)

4.1系统软件总体设计 (15)

4.2程序设计原理 (16)

5.系统调试 (18)

5.1硬件调试 (18)

5.2软件调试 (18)

结论 (19)

致谢 (20)

参考文献 (21)

附录A (22)

附录B (23)

附录C (24)

附录D (40)

附录E (45)

附录F (48)

1 引言

1.1 目的和意义

信号发生器亦称波形发生器,主要作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,波形种类多为锯齿、正弦、方波、三角等波形。用分立元件组成的信号发生器,通常是单信号发生器且频率不高,其工作不很稳定,不易调试;用集成芯片的信号发生器,可达到较高的频率和产生多种波形信号,但电路较为复杂且不易调试。利用DA转换器配合单片机可以实现波形产生的功能,而且可以根据需要进行调整,设计灵活。

随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展促使信号发生器种类增多性能提高尤其随着70年代微处理器的出现更促使信号发生器向着自动化智能化方向发展现在许多信号发生器带有微处理器因而具备了自校自检自动故障诊断和自动波形形成和修正等功能可以和控制计算机及其他测量仪器一起方便的构成自动测试系统当前信号发生器总的趋势是向着宽频率覆盖低功耗高频率精度多功能自动化和智能化方向发展[1]。

在科学研究工程教育及生产实践中如工业过程控制教学实验机械振动试验动态分析材料试验生物医学等领域常常需要用到低频信号发生器而在我们日常生活中以及一些科学研究中锯齿波和正弦波矩形波信号是常用的基本测试信号譬如在示波器电视机等设备中为了使电子按照一定规律运动以利用荧光屏显示图像常用到锯齿波产生器作为时基电路信号发生器作为一种通用的电子仪器在生产科研测控通讯等领域都得到了广泛的应用但市面上能看到的仪器在频率精度带宽波形种类及程控方面都已不能满足许多方面实际应用的需求加之各类功能的半导体集成芯片的快速生产都使我们研制一种低功耗宽频带能产生多种波形并具有程控等低频的信号发生器成为可能[2]。

信号发生器作为一种常见的电子仪器设备,既能够构成独立的信号源,也可以是高新能的网络分析仪,频谱仪以及自动测试装备的组成部分,函数信号发生器的关键技术是多种高性能仪器的基本组成部分,函数信号发生器的关键技术是多种高性能仪器的支撑技术,因为它是能够提高质量的精密信号源及扫描源,可使相应系统的检测过程大大简化,降低检测费用并且提高检测精度。

1.2 研究概况及发展趋势

信号发送器是指产生所需参数的电测试信号的仪器。因其应用广泛,种类繁多,特性各异,分类也不尽一致。按信号波形可分为正弦信号、函数信号、脉冲信号和随机信号发生器等四大类。信号波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。在70 年代前,信号发生器主要有两类:正弦波和脉冲波,而信号发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。在70 年代后,微处理器的出现,可以利用处理器、A/D/和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。90 年代末,出现几种真正高性能、高价格的信号发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波

形合成器,Lecroy 公司生产的型号为9100 的任意波形发生器等。到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz 的DDS 芯片,同时也推动了信号波形发生器的发展,2003 年,Agilent的产品33220A能够产生17 种波形,最高频率可达到20M,2005 年的产品N6030A 能够产生高达500MHz 的频率,采样的频率可达1.25GHz。由上面的产品可以看出,信号波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

(1)过去由于频率很低应用的范围比较狭小,输出波形频率的提高,使得波形发生器能应用于越来越广的领域。波形发生器软件的开发正使波形数据的输入变得更加方便和容易。波形发生器通常允许用一系列的点、直线和固定的信号段把波形数据存入存储器。同时可以利用一种强有力的数学方程输入方式,复杂的波形可以由几个比较简单的公式复合成v=f (t)形式的波形方程的数学表达式产生。从而促进了信号波形发生器向任意波形发生器的发展,各种计算机语言的飞速发展也对任意波形发生器软件技术起到了推动作用。目前可以利用可视化编程语言(如Visual Basic ,Visual C 等等)编写任意波形发生器的软面板,这样允许从计算机显示屏上输入任意波形,来实现波形的输入。

(2)与VXI资源结合。目前,波形发生器由独立的台式仪器和适用于个人计算机的插卡以及新近开发的VXI模块。由于VXI总线的逐渐成熟和对测量仪器的高要求,在很多领域需要使用VXI系统测量产生复杂的波形,VXI的系统资源提供了明显的优越性,但由于开发VXI模块的周期长,而且需要专门的VXI机箱的配套使用,使得波形发生器VXI模块仅限于航空、军事及国防等大型领域。在民用方面,VXI模块远远不如台式仪器更为方便。

(3)随着信息技术蓬勃发展,台式仪器在走了一段下坡路之后,又重新繁荣起来。不过现在新的台式仪器的形态,和几年前的己有很大的不同。这些新一代台式仪器具有多种特性,可以执行多种功能。而且外形尺寸与价格,都比过去的类似产品减少了一半。

1.3 本系统主要功能

本系统设计制作一个基于DAC0832的信号发生器。能实现以下几种功能:

(1)用户可以通过按键设定频率、波形、幅度这些参数。

(2)本系统输出幅度范围为0.1VPP~5VPP。最小步进值为0.1VPP。

(3)本系统输出方波、三角波、正弦波和锯齿波。最小步进值为1HZ。

(4)系统所有的设置的参数都能在LCD1602上显示。

(5)正弦波:1Hz~10KHz;三角波:1Hz~5KHz;方波:1Hz~10KHz;锯齿波:1Hz~5KHz。

2. 总体方案论证与设计

根据所要实现的功能划分,系统一共需要以下几个模块:主控模块、显示模块、信号产生模块、程控增益模块和负压产生模块,以下就针对这几个模块的选型和论证进行讨论。

2.1主控模块的选型和论证

方案一:

采用MSP430系列单片机,该单片机是TI公司1996年开始推向市场的一种16位超低功耗的混合信号处理器。其内部集成了很多模拟电路、数字电路和微处理器,提供强大的功能。不过该芯片昂贵不适合一般的设计开发。

方案二

采用51系列的单片机,该单片机是一个高可靠性,超低价,无法解密,高性能的8位单片机,32个IO口,且STC系列的单片机可以在线编程、调试,方便地实现程序的下载与整机的调试。

因此选用方案二中的51系列单片机作为主控芯片。

2.2显示模块的选型和论证

方案一:

采用点阵式数码管显示,点阵式数码管是由八行八列的发光二极管组成,对于显示文字比较合适,如采用在显示数字显得太浪费,且价格也相对较高,所以不用此种作为显示[3]。

方案二:

采用LED数码管动态扫描,LED数码管价格虽适中,对于显示数字也最合适,而且采用动态扫描法与单片机连接时,占用单片机口线少。但是由于数码管动态扫描需要借助74LS164移位寄存器进行移位,该芯片在电路调试时往往有很多障碍,所以不采用LED数码管作为显示。

方案三:

采用LCD液晶显示屏,液晶显示屏的显示功能强大,可显示大量文字,图形,显示多样,清晰可见,对于本设计而言一个LCD1602的液晶屏即可,价格也还能接受,需要的借口线较多,但会给调试带来诸多方便。

所以本设计中方案三中的LCD1602液显示屏作为显示模块。

2.3信号产生模块的选型和论证

方案一:

利用R2R型DA转换器DAC0832进行产生信号,把要产生的信号根据其规则建立一个ROM 表,单片机每隔一段时间根据ROM表的值去改变数模转换器的电压输出值,如果ROM表示根据正弦变化记录的表则输出的波形则为正弦波,如此类推,只用通过改变时间的间隔即可改变输出波形的频率。

方案二:

利用集成芯片DDS芯片AD9833进行产生信号,该芯片可以通过与单片机通信设定其输出波形和频率,而且设定的频率精度非常高。DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码。

方案一由于使用的独立的DA转换器,设计比较灵活,只要预先先把波形的ROM表生产好存储到单片机内即可输出,定频率的设定波形而选用DDS芯片则只需要通过固定的时序控制则能方便产生设。但是由于DDS成本较为高昂,因此本设计选用DA转换器来实现波形产生的功能。

2.4程控放大模块的选型和论证

方案一:

选用DAC0832搭配外部电路构成程控放大器,由于DAC0832是一个8位DA 转换器,因此能设置成256档放大倍数。通过单片机控制DA 转换器的数字端口即可改变放大倍数。 方案二:

选用VCA810作为主芯片进行程控放大,VCA 是一款高性能的压控放大器芯片,它有一个增益控制引脚,用户可以通过改变该脚的电压进行增益的控制,增益的控制范围为-40dB~40dB ,不过VCA810需要搭配外部的DA 转换器才能实现程控放大的功能。

由于DAC0832的价格较VCA810便宜,而且VCA810需要搭配外部DA 转换器才能实现增益改变的功能,因此这里选用DAC0832进行程控放大。 2.5负压产生模块的选型和论证

由于DAC0832搭配运放后输出的电压为负电压,为了能正常输出,因此运放的电源需要正负电源供电,因为系统供电只有一个5V 电源,为了得到一个-5V 电源,这里使用了ICL7660负压产生芯片来实现。 2.6系统整体设计概述

本系统以单片机为控制核心,对系统进行初始化,主要完成对键盘的响应、液晶显示、波形产生、增益调节等功能的控制,起到总控和协调各模块之间工作的作用。

图2.1系统结构框图

显示电路

键盘电路 单 片 机

数/模转换电路

复位电路

放大电路

波形输出

3.系统硬件电路设计

3.1主控模块

主控模块模块在整个系统中起着统筹的作用,需要检测键盘,波形控制等各种功能,同时驱动液晶显示相关参数,在这里我们选用了51系列单片机中的STC12C5A60S2单片机作为系统的主控芯片[5]。

51系列单片机最初是由Intel 公司开发设计的,但后来Intel 公司把51 核的设计方案卖给了几家大的电子设计生产商,譬如 SST 、Philip 、Atmel 等大公司。因此市面上出现了各式各样的均以51 为内核的单片机。这些各大电子生产商推出的单片机都兼容51 指令、并在51 的基础上扩展一些功能而内部结构是与51一致的[6]。

STC12C5A60S2有40个引脚,4个8位并行I/O 口,1个全双工异步串行口,同时内含5个中断源,2个优先级,2个16位定时/计数器。STC12C5A60S2的存储器系统由4K 的程序存储器(掩膜ROM),和128B 的数据存储器(RAM)组成。

STC12C5A60S2单片机的基本组成框图见图3-1。

图3.1 STC12C5A60S2单片机结构图

3.1.1 STC12C5A60S2单片机主要特性

1. 一个8 位的微处理器(CPU)。

2. 片内数据存储器RAM(128B),用以存放可以读/写的数据,如运算的中间结果、最终结果以及欲显示的数据等,SST89 系列单片机最多提供1K 的RAM 。

3. 片内程序存储器ROM(4KB),用以存放程序、一些原始数据和表格。但也有一些单片机内部不带ROM/EPROM ,如8031,8032,80C31 等。目前单片机的发展趋势是将RAM 和ROM 都集成在单片机里面,这样既方便了用户进行设计又提高了系统的抗干扰性。SST 公司推出的89 系列单片机分别集成了16K 、32K 、64K Flash 存储器,可供用户根据需要选用。

4. 四个8 位并行I /O 接口P0~P3,每个口既可以用作输入,也可以用作输出。

5. 两个定时器/计数器,每个定时器/计数器都可以设置成计数方式,用以对外部事件进行计数,也可以设置成定时方式,并可以根据计数或定时的结果实现计算机控制。为方便设计串行通信,目前的52 系列单片机都会提供3 个16 位定时器/计数器[4]。

6. 五个中断源的中断控制系统。现在新推出的单片机都不只5 个中断源,例如SST89E58RD 就有9 个中断源。

时钟电路

ROM/EPROM/Flash 4KB

RAM128B SFR 21个

定时个/计数器2

CPU

总线控制

中断系统5个中断源2个优先级

串行口全双工1个

4个并行口

XTAL2

XTAL1

RST EA

ALE

PSEN

P0

P1

P2

P3

Vss

Vcc

7. 一个全双工UART(通用异步接收发送器)的串行I/O 口,用于实现单片机之间或单机与微机之间的串行通信。

8. 片内振荡器和时钟产生电路,但石英晶体和微调电容需要外接。最高允许振荡频率为12MHz。SST89V58RD 最高允许振荡频率达40MHz,因而大大的提高了指令的执行速度。

图3.2 STC12C5A60S2单片机管脚图

部分引脚说明:

1.时钟电路引脚XTAL1 和XTAL2:

XTAL2(18 脚):接外部晶体和微调电容的一端;片内它是振荡电路反相放大器的输出端,振荡电路的频率就是晶体固有频率。若需采用外部时钟电路时,该引脚输入外部时钟脉冲。

要检查振荡电路是否正常工作,可用示波器查看XTAL2 端是否有脉冲信号输出。

XTAL1(19 脚):接外部晶体和微调电容的另一端;在片内它是振荡电路反相放大器的输入端。在采用外部时钟时,该引脚必须接地。

2.控制信号引脚RST,ALE,PSEN 和EA:

RST/VPD(9 脚):RST 是复位信号输入端,高电平有效。当此输入端保持备用电源的输入端。当主电源Vcc 发生故障,降低到低电平规定值时,将+5V 电源自动两个机器周期(24个时钟振荡周期)的高电平时,就可以完成复位操作。RST 引脚的第二功能是VPD,即接入RST 端,为RAM 提供备用电源,以保证存储在RAM 中的信息不丢失,从而合复位后能继续正常运行。

ALE/PROG(30 脚):地址锁存允许信号端。当8051 上电正常工作后,ALE 引脚不断向外输出正脉冲信号,此频率为振荡器频率fOSC 的1/6。CPU 访问片外存储器时,ALE 输出信号作为锁存低8 位地址的控制信号。

平时不访问片外存储器时,ALE 端也以振荡频率的1/6 固定输出正脉冲,因而ALE 信号可以用作对外输出时钟或定时信号。如果想确定8051/8031 芯片的好坏,可用示波器查看ALE端是否有脉冲信号输出。如有脉冲信号输出,则8051/8031 基本上是好的。

ALE 端的负载驱动能力为8 个LS 型TTL(低功耗甚高速TTL)负载。

此引脚的第二功能PROG 在对片内带有4KB EPROM 的8751 编程写入(固化程序)时,作为编程脉冲输入端。

PSEN(29 脚):程序存储允许输出信号端。在访问片外程序存储器时,此端定时输出负脉冲作为读片外存储器的选通信号。此引肢接EPROM 的OE 端(见后面几章任何一个小系统硬件图)。PSEN 端有效,即允许读出EPROM/ROM 中的指令码。PSEN 端同样可驱动8 个LS 型TTL 负载。要检查一个8051/8031 小系统上电后CPU 能否正常到EPROM/ROM 中读取指令码,也可用示波器看PSEN 端有无脉冲输出。如有则说明基本上工作正常。

EA/Vpp(31 脚):外部程序存储器地址允许输入端/固化编程电压输入端。当EA 引脚接高电平时,CPU只访问片内EPROM/ROM并执行内部程序存储器中的指令,但当PC(程序计数器)的值超过0FFFH(对8751/8051 为4K)时,将自动转去执行片外程序存储器内的程序。当输入信号EA 引脚接低电平(接地)时,CPU 只访问外部EPROM/ROM 并执行外部程序存储器中的指令,而不管是否有片内程序存储器。对于无片内ROM 的8031 或8032,需外扩EPROM,此时必须将EA 引脚接地。此引脚的第二功能是Vpp 是对8751 片内EPROM固化编程时,作为施加较高编程电压(一般12V~21V)的输入端。

3.输入/输出端口P0/P1/P2/P3:

P0口(P0.0~P0.7,39~32 脚):P0口是一个漏极开路的8 位准双向I/O口。作为漏极开路的输出端口,每位能驱动8 个LS 型TTL 负载。当P0 口作为输入口使用时,应先向口锁存器(地址80H)写入全1,此时P0 口的全部引脚浮空,可作为高阻抗输入。作输入口使用时要先写1,这就是准双向口的含义。在CPU 访问片外存储器时,P0口分时提供低8 位地址和8 位数据的复用总线。在此期间,P0口内部上拉电阻有效。

P1口(P1.0~P1.7,1~8 脚):P1口是一个带内部上拉电阻的8 位准双向I/O口。P1口每位能驱动4 个LS 型TTL 负载。在P1口作为输入口使用时,应先向P1口锁存地址(90H)写入全1,此时P1口引脚由内部上拉电阻拉成高电平。

P2口(P2.0~P2.7,21~28 脚):P2口是一个带内部上拉电阻的8 位准双向I/O口。P口每位能驱动4个LS 型TTL 负载。在访问片外EPROM/RAM 时,它输出高8 位地址。

P3口(P3.0~P3.7,10~17 脚):P3口是一个带内部上拉电阻的8 位准双向I/O口。P3口每位能驱动4个LS型TTL负载。P3口与其它I/O 端口有很大的区别,它的每个引脚都有第二功能,如下:

P3.0:(RXD)串行数据接收。

P3.1:(RXD)串行数据发送。

P3.2:(INT0#)外部中断0输入。

P3.3:(INT1#)外部中断1输入。

P3.4:(T0)定时/计数器0的外部计数输入。

P3.5:(T1)定时/计数器1的外部计数输入。

P3.6:(WR#)外部数据存储器写选通。

P3.7:(RD#)外部数据存储器读选通。

3.1.2 STC12C5A60S2单片机的中断系统

STC12C5A60S2系列单片机的中断系统有5个中断源,2个优先级,可以实现二级中断服务嵌套。由片内特殊功能寄存器中的中断允许寄存器IE控制CPU是否响应中断请求;由中断优先级寄存器IP安排各中断源的优先级;同一优先级内各中断同时提出中断请求时,由内部的查询逻辑确定其响应次序。[7]

在单片机应用系统中,常常会有定时控制需求,如定时输出、定时检测、定时扫描等;也经常要对外部事件进行计数。STC12C5A60S2单片机内集成有两个可编程的定时/计数器:T0和T1,它们既可以工作于定时模式,也可以工作于外部事件计数模式,此外,T1还可以作为串行口的波特率发生器。

3.1.3 单片机最小系统设计

图3.3 单片机最小系统电路图

图3.3为单片机最小系统电路图,单片机最小系统有单片机、时钟电路、复位电路组成,时钟电路选用了12MHZ的晶振提供时钟,作用为给单片机提供一个时间基准,其中执行一条基本指令需要的时间为一个机器周期,单片机的复位电路,按下复位按键之后可以使单片机进入刚上电的起始状态。图中10K排阻为P0口的上拉电阻,由于P0口跟其他IO结构不一样为漏极开路的结构,因此要加上拉电阻才能正常使用。

3.2 LCD液晶显示器简介

由于本设计中要求显示界面显示一些参数,因此这里选用了LCD1602作为界面显示,可以实时的显示当前信号输出的频率、波形、占空比以及幅值,同时也方便用户设置相关的参数。

3.2.1 液晶原理介绍

液晶显示器(LCD)英文全称为Liquid Crystal Display,它一种是采用了液晶控制透光度技术来实现色彩的显示器。和CRT显示器相比,LCD的优点是很明显的。由于通过控制是否透光来控制亮和暗,当色彩不变时,液晶也保持不变,这样就无须考虑刷新率的问题。

显示接口用来显示系统的状态,命令或采集的电压数据。本系统显示部分用的是LCD液晶模块,采用一个16×2的字符型液晶显示模块[3]。

点阵图形式液晶由M 行×N 列个显示单元组成,假设LCD 显示屏有64行,每行有128列,每8列对应1 个字节的8 个位,即每行由16 字节,共16×8=128个点组成,屏上64×16 个显示单元和显示RAM 区1024 个字节相对应,每一字节的内容和屏上相应位置的亮暗对应。一个字符由6×8 或8×8点阵组成,即要找到和屏上某几个位置对应的显示RAM区的8 个字节,并且要使每个字节的不同的位为‘1’,其它的为‘0’,为‘1’的点亮,为‘0’的点暗,这样一来就组成某个字符。但对于内带字符发生器的控制器来说,显示字符就比较简单了,可让控制器工作在文本方式,根据在LCD 上开始显示的行列号及每行的列数找出显示RAM对应的地址,设立

光标,在此送上该字符对应的代码即可。

3.2.2液晶模块简介

LCD1602液晶模块采用HD44780控制器,hd44780具有简单而功能较强的指令集,可以实现字符移动,闪烁等功能,LM016L与单片机MCU通讯可采用8位或4位并行传输两种方式,hd44780控制器由两个8位寄存器,指令寄存器(IR)和数据寄存器(DR)忙标志(BF),显示数RAM(DDRAM),字符发生器ROMA(CGOROM)字符发生器RAM(CGRAM),地址计数器RAM(AC)。IR用于寄存指令码,只能写入不能读出,DR用于寄存数据,数据由内部操作自动写入DDRAM和CGRAM,或者暂存从DDRAM和CGRAM读出的数据,BF为1时,液晶模块处于内部模式,不响应外部操作指令和接受数据,DDTAM用来存储显示的字符,能存储80个字符码,CGROM由8位字符码生成5*7点阵字符160中和5*10点阵字符32种.8位字符编码和字符的对应关系,CGRAM是为用户编写特殊字符留用的,它的容量仅64字节,可以自定义8个5*7点阵字符或者4个5*10点阵字符,AC可以存储DDRAM和CGRAM的地址,如果地址码随指令写入IR,则IR自动把地址码装入AC,同时选择DDRAM或CGRAM,LCD1602液晶模块的引脚图如图3.4所示。

图3.4 LCD1602引脚图

液晶寄存器选择控制如表3.1。

表3.1寄存器选择控制

RS R/W 操作说明

0 0 写入指令寄存器(清除屏等)

0 1 读busy flag(DB7),以及读取位址计数器(DB0~DB6)值

1 0 写入数据寄存器(显示各字型等)

1 1 从数据寄存器读取数据

3.2.3液晶显示部分与STC12C5A60S2的接口

如图3-5所示。用STC12C5A60S2的P0口作为数据线,用P1.2、P1.1、P1.0分别作为LCD 的EN、R/W、RS。其中EN是下降沿触发的片选信号,R/W是读写信号,RS是寄存器选择信号本模块设计要点如下:显示模块初始化:首先清屏,再设置接口数据位为8位,显示行数为1行,

陕西理工学院毕业设计

字型为5×7点阵,然后设置为整体显示,取消光标和字体闪烁,最后设置为正向增量方式且不移位。向LCD的显示缓冲区中送字符,程序中采用2个字符数组,一个显示字符,另一个显示电压数据,要显示的字符或数据被送到相应的数组中,完成后再统一显示.首先取一个要显示的字符或数据送到LCD的显示缓冲区,程序延时2.5ms,判断是否够显示的个数,不够则地址加一取下一个要显示的字符或数据[8]。

图3.5 LCD1602与STC12C5A60S2的接口

3.3键盘模块设计

本按键模块使用的是多位独立按键,按键一端接IO口,一端接地,由于单片机的IO口都有内部上拉,因此当按键没有按下的时候,IO检测到的时候高电平,当按键按下的时候,相当于IO短接地,因此这时候单片机检测到的电平为低电平,通过检测不同时刻的IO口状态就可以判断按下的是那个按键。4个按键分别代表以下功能,第一为功能按键,可以切换不同参数设置界面,第二个为切换按键,可以切换波形、频率和幅值等参数进行设置,第三个为增加按键,第四个为减少按键。键盘模块电路图如图3.6所示。

图3.6键盘模块电路图

3.4信号产生模块设计

这里由于要产生方波、正弦波、锯齿波和三角波这几种波形,综合考虑选择了DAC0832作为主芯片,DAC0832是一款是国家半导体公司采用先进的DA 转换器,单片机通过查询内部的预存的波形ROM 表来实现波形产生。 3.4.1 直接数字合成技术介绍

本设计就是基于直接数字合成技术原理设计的,直接数字合成技术是美国学者于1971年提出的,即以全数字技术,从相位概念出发直接合成所需波形的一中新的频率合成原理,称之为直接数字频率合成器(Direct Digital Synthesis)。这是频率合成技术的一次重大革命。它的基本原理就是利用采样原理,通过查表法产生波形。但是限于当时微电子技术和数字信号处理技术的限制,DDS 并没有得到足够的重视,随着现代超大规模集成电路集成工艺的高速发展,使得数字频率合成技术得到了质的飞跃,它在相对带宽、频率转换时间、相位连续性、正交输出、高分辨率以及集成化等一系列性能指标方面,已远远超过了传统频率合成技术所能达到的水平。但是由于DDS 数字化实现的固有特点,决定了其输出频谱杂散较大。从20世纪80年代末开始通过深入的研究认识了DDS 杂散成因及其分布规律后,对DDS 相位累加器进行了改进,ROM 数据进行了压缩,使用了抖动注入技术以及对DDS 工艺结构和系统结构进行了改进。

DDS 技术建立在采样在采样定理的基础上,它首先对需要产生的信号波形进行采样和量化,然后存入存储器作为待产生信号波形的数据表。输出信号波形时,电路在一个高稳定时钟控制下从数据表中依次读出信号波形的数据,产生过数字化的信号,这个信号再通过DAC 转换成所需的模拟信号波形。具体原理框图如图3.7所示。它的核心是相位累加器,由N 位加法器与N 位相位寄存器构成,类似一个简单的计数器。加法器将频率控制字与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。由此可以看出,相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是DDS 输出的信号频率。将相位寄存器的输出与相位控制字相加得到的数据作为一个地址对正弦查询表进行寻址,查询表把输入的地址相位信息映射成正弦波幅度信号,通过D/A 变换器把数字量变成模拟量,再经过低通滤波器平滑并滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。在参考频率为fs 的情况下,DDS 系统输出信号的频率fo 为:

N fs

K

fo 2= (3-1)

输出信号的频率分辨率Δfo 为:

(3-2)

图3.7 DDS 技术的原理框图

相位累加器(N 位)波形存储器(N 位)D/A 转换器低通滤波器

fs fs

频率

控制字K

N

fs fo 2=?

相对于其他信号波形产生技术,DDS 技术具有输出信号的采样频率固定、频率稳定性高、信号频率转换时间输出相位连续、全数字化、可编程和易于控制等优点。但还是有两点不足之处:

(1)散分量丰富。这些杂散分量主要由相位舍位、幅度量化和DAC 的非理想特性所引起,如图3-8所示。因为在实际的DDS 电路中,为了达到足够小的频率分辨率,通常将相位累加器的位数取大。但受体积和成本的限制,即使采用先进的存储方法,ROM 的容量都远小于此,因此在对ROM 寻址时,只是用相位累加器的高位去寻址,这样不可避免地引起误差,即相位舍位误差。另外,一个幅值在理论上只能用一个无限长的二进制代码才能精确表示,由于ROM 的存储能力,只采用了有限比特代码来表示这一幅值,这必然会引起幅度量化误差。另外,DAC 的有限分辨率以及非线性也会引起误差。所以对杂散的分析和抑制,一直是国内外研究的特点,因为它从很大程度上决定了DDS 的性能。

图3.8 DDS 掺杂模型

(2)频带受限。由于DDS 内部DAC 和ROM 的工作速度限制,使得DDS 输出的最高频率有限。

3.4.2 DAC0832芯片介绍

DAC0832是采用CMOS 工艺制成的单片直流输出型8位数/模转换器。旨在直接与8080,8048,8085,Z80及其他通用的微型处理器进行相接。存储的硅铬R-2R 电阻梯形网络将参考电流分开,并为电路提供合适的温度处理特性(全范围最大线性温度误差的0.05%)。电路利用CMOS 电流开关和控制逻辑来取得最少的电能损耗和最小的输出泄露电流误差。特殊的电路也能提供TTL 逻辑输入电压的水平兼容。

双缓冲可以使这些D/A 转换器在获取下一个数位字时输出相应一个数位字的电压。这就使得任何一个D/A 转换器均可进行同步更新。D/A 转换器0830系列是8位的可兼容微型处理器的D/A 转换器的集合。

图3.9 典型应用连接

DAC

ROM

相位累加器

+

+

+

频率控制字K

fout

εp (n )εD (n )εDAC

3.4.3 DAC0832波形发生电路设计

由于DAC0832是电流输出型DAC,因此需要用运放转换成电压,AMP1A输出电压V的范围为-VREF~0V,由于运放供电为正负5V供电,并且运放不能输出电压范围到电源轨,因此基准电压只使用了2.5V电压。基准由TL431进行提供。为了使输出信号幅度范围以0V为中间值,使用了AMP1B搭建成一个加法器进行信号放大和偏置调整。输出电压V out=V(-R4/R2)+VREF(-R4/R3)=-2V-VREF,因此输出的幅度范围为-VREF~VREF,由于基准电压为2.5V,所以输出电压范围为-2.5V~2.5V。

图3.10 波形发生电路设计

3.5程控放大模块的设计

程控放大模块的结构跟波形发生部分相似,区别在于波形发生部分的基准电压是固定的,通过查ROM的数组来改变输出电压来描绘出波形,而程控放大模块的基准是接到波形发生电路的输出,因此输出VOUT=VREF*DATA/256 。其中DATA值通过改变单片机连接到DA数据口的电平值即可以改变其放大倍数。其硬件电路图如图3.11所示。

图3.11程控放大电路设计

3.6 负压转换电路设计

由于电路中很多芯片都需要正负电源供电,而我们的电源只有正5伏的电压,因此这里使用ICL7660进行产生一个负5伏电压。

该ICL7660S超电压转换器是一个单片电路CMOS电压转换IC,保证显着的性能优于其他类似装置。这是一个直接替换工业标准的ICL7660提供了一个扩大经营范围的电源电压高达12V,低电源电流。无需外部二极管所需的ICL7660S。此外,高频升压脚已被纳入,使用户能够实现较低的输出阻抗,尽管使用较小的电容器。

图3.12 ICL7660S引脚

所有改进中所强调的电气规格一节。关键参数都保证在整个商业,工业和军事温度范围内工作。ICL7660S执行的电源电压转换从积极的消极的输入电压范围1.5V至12V的,因此互补的输出电压-1.5V~-12V的。只有2个非关键外部电容器所需要的电荷泵和负责水库的功能。该ICL7660S可以连接到作为一个电压倍增,并会产生高达22.8V的12V输入。它也可以被用来作为电压倍增器或分压器。

图3.13 ICL7660硬件电路图

4.系统软件设计

4.1系统软件总体设计

图4.1主程序流程图

主程序流程图如图4.1所示,单片机上电后先进行初始化,清除一些参数的初值,然后显示相关波形的参数,每个循环单片机都会判断用户是否按下设定按键,如果按下则进入相关参数的设置。

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

信号发生器的设计方案综述【文献综述】

文献综述 电子信息工程 信号发生器的设计方案综述 摘要:本文首先介绍了信号发生器的背景与应用,然后提出了基于直接数字频率合成(DDS)技术的信号发生器实现,概述了DDS的概念及基本结构,介绍了基于FPGA、单片机及专用芯片的信号发生器实现方案,最后对这些方案给出笔者的评价。 关键词:DSP BUILDER;数字移相信号发生器;DDS 1引言 在当今社会,信号发生器作为电子领域中的最基本、最普通、最广泛的仪器之一,是工科类电子工程师进行信号仿真实验的最佳工具。而信号发生器是指能产生测试信号的仪器,它主要用于产生被测电路所需特定参数的电测试信号。 本文设计的数字移相信号发生器通过移相技术在数控、数字信号处理机、工业控翻、自动控制等各个领域得以应用[1]。 2 DDS概述 直接数字频率合成DDS(Direct Digital Frequency Synthesizer)是一种采用数字化技术、通过控制相位的变化速度、直接产生各种不同频率信号的新型频率合成技术,标志着第三代频率合成技术的出现。它是把一系列数字量形式的信号通过数模转换器(DAC)转换成模拟量形式的信号[2]。目前使用的最广的一种DDS方式是利用高速存储器作查找表。然后通过高速DAC输出已经用数字形式存入的正弦波。具有频率切换时间短,频率分辨率高,频率稳定度高。输出信号的频率和相位可快速程控交换、输出相位连续、容易实现频率、相位和幅度的数控调制等优点[3]。 图1 DDS基本结构 DDS是以数控的方式产生频率、相位和幅度可以控制的正弦波,如图1所示为基本DDS结构,由

相位累加器、相位调制器、正弦ROM查找表、D/A构成[4]。相位累加器是整个DDS的核心,它由一个累加器和一个N位相位寄存器组成,每来一个时钟脉冲,相位寄存器以相位步长M增加,相位寄存器的输出与相位控制字相加,完成相位累加运算,其结果作为正弦查找表的地址,正弦ROM查找表内部存有一个完整周期正弦波数字幅度信息,每个查找表地址对应正弦波中o。~360。范围的一个相位点,查找表把输入的地址信息映射成正弦波幅度信号,通过D/A输出,经低通滤波器后,即可得一纯净的正弦波。 而所谓的移相,就是指两路同频的信号,以其中的一路为参考,另一路相对于该参考作超前或滞后的移动,即称为相位的移动。两路信号的相位不同,便存在相位差,简称相差[5]。两路信号的相位差用相位字来控制,只要相位字不同,就可得到两路不同相位的移相信号。 3 基于DDS的数字移相系统设计 3.1基于FPGA的实现 传统使用FPGA的数字信号处理系统的设计,首先需要用仿真软件进行建模仿真,得到预想中的仿真结果后。再根据仿真过程和结果,使用硬件描述语言创建硬件工程,最后完成硬件仿真。整个过程漫长而繁杂,尤其困难的是仿真过程不够直观.一旦遇到问题无法及时准确地确定问题所在。而DSP Builder作为一个面向DSP开发的系统级(或算法级)设计工具,它架构在多个软件工具之上,并把系统级和RTL 级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势[5]。DSP Builder依赖于MathWorks 公司的数学分析工具Matlab/ Simulink ,DSP Builder允许设计者在Matlab 中完成算法设计,在Simulink 软件中完成系统集成,通过SignalCompiler模块生成Q uart usII 软件中可以使用的硬件描述语言(V HDL) 文件,它提供了QuartusII软件和MA TLAB/ Simulink工具之间的接口,通过DSP Builder 、SOPC Builder 、Quart usII 软件构筑的一套从系统算法分析到FPGA 芯片实现的完整设计平台[6]。 3.2基于单片机的实现 基于单片机的信号发生器其核心内容是单片机的主程序,主程序对整个设计起着总控作用[7]。设计方案如图2所示.系统在程序控制下,先读取P3口决定波形信号类别,然后由Po口输出数据,经D/A转换后放大、滤波输出.波形频率在线调整是通过读取P2口上的拨码开关的编码,并根据该编码产生的数字量,在PO口输出一个数据后立即产生一个对应时长的延时时间来实现.幅度调整是通过接在DAC上的滑动变阻器来改变D/A转换的参考电压来实现[8]。

多功能信号发生器的设计与实现

题目多功能信号发生器的设计与实现学生姓名王振华学号 1213014069所在学院物理与电信工程学院 专业班级电子信息工程 指导教师梁芳 完成地点物理与电信工程学院实验室 2016 年 6 月 2 日

多功能信号发生器的设计与实现 王振华 (陕西理工学院物理与电信工程学院电子信息工程专业,2012级3班,陕西汉中 723000) 指导教师:梁芳 [摘要]本文介绍的是利用STC12C5A60S2单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC12C5A60S2的基础理论,以及与设计电路有关的各种芯片。着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。本设计核心任务是:以STC12C5A60S2为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。 [关键词]单片机; LCD1602;信号发生器;DAC0832

Design and implementation of multi function signal generator Author:Zhenhua Wang (Grade 12,Class 03,Major in Electronics & Information engineering ,Physics & Telecommunications engineering Dept., Shaanxi University of Technology,Hanzhong 723000,Shaanxi) Tutor: Fang Liang Abstract:This article describes the STC12C5A60S2 microcontroller and digital to analog converter DAC0832 to produce the desired signal of the low frequency signal source, the signal amplitude and frequency can be controlled as required. The article briefly describes the structure of principles and use of the DAC0832 digital-to-analog converter, the STC12C5A60S2 basic theory and design of circuits a variety of chips. The paper focuses on how to use microcontroller to control the D / A converter to produce the hardware and software programming of the above signals. The signal frequency range is also adjustable as required.The core of the design tasks are: STC12C5A60S2 as the D / A converter and DAC0832 devices, circuit simulation software, design hardware drivers written in C, in order to achieve process control to produce sine wave, triangle wave, square wave, three commonly used low-frequency signals. Waveforms and enter any frequency value can be selected via the keyboard. Key Words:on STC12C5A60S2 function waveform generator DAC0832 square wave, triangle wave, sine wave,sawtooth wave

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

基于AD9850的信号发生器设计_毕业设计

基于AD9850的信号发生器设计 摘要 介绍ADI 公司出品的AD9850 芯片,给出芯片的引脚图和功能。并以单片机 AT89S52 为控制核心设计了一个串行控制方式的正弦信号发生器的可行性方案,给出了单片机AT89S52 与AD9850 连接电路图和调试通过的源程序以供参考。直接数字合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通讯等领域有着广泛的应用前景。系统采用AD9850为频率合成器,以单片机为进程控制和任务调度的核心,设计了一个信号发生器。实现了输出频率在10Hz~1MHz范围可调,输出信号频率稳定度优于10-3的正弦波、方波和三角波信号。正弦波信号的电压峰峰值V opp能在0~5V范围内步进调节,步进间隔达0.1v,所有输出信号无明显失真,且带负载能力强。该电路设计方案正确可行,频率容易控制,操作简单灵活,且具有广阔的应用前景。 关键词:信号发生器;直接数字频率合成;AD9850芯片;AT89S52单片机

Abstract On the basis of direct digital synthesis(DDS)principle, a signal generator was designed , using AT89S52 single chip machine as control device and adopting AD9850 type DDS device .Hardware design parameters were given .The system can output sine wave ,square wave with wide frequency stability and good waveform .The signal generator has stronger market competitiveness , with wide development prospect ,in frequency modulation technology and radio communication technology fields. Key words: signal generator ;direct digital synthsis;AD9850;AT89S52

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器 实验报告 学院(系) 专业、班级 学生姓名 学号 小组其他队员: 指导教师

(1)实验要求 (2)总体设计思路 (3)程序仿真 (4)实验结果 (5)心得体会 一.实验要求 (1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。 (3)在电路板上可以对波形进行选择输出。 (4)在电路板上可以对波形的频率与幅度进行调节。 二.总体设计思路 信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。 总体框架图如下: (1)分频 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如

VHDL、Verilog HDL等。本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。 分频器原理图: 在我们本次试验中的实现即为当按下按键时,频率自动减半。如当输入为100MHZ,输出为50MHZ。 (2)信号的产生。 根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。ROM 的地址信号发生器,有七位计数器担任。LPM_ROM底层是FPGA 中的M4K等模块。然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。 信号产生模块:

任意信号发生器毕业设计开题报告书

苏州科技学院 毕业设计开题报告 设计题目任意信号发生器的硬件设计(基于89C51实现)院系电子与信息工程学院 专业电子信息工程 班级电子0911 学生姓名XXXXXXX 学号 设计地点 指导教师 2013 年3月31 日

设计题目:任意信号发生器的硬件设计(基于89C51实现)课题目的、意义及相关研究动态: 一、课题目的: 信号发生器是一种能产生模拟电压波形的设备,这些波形能够校验电子电路的设计。信号发生器广泛用于电子电路、自动控制系统和教学实验等领域,它是一种可以产生正弦波,方波,三角波等函数波形的一起,其频率范围约为几毫赫到几十兆赫,在工业生产和科研中利用信号发生器输出的信号,可以对元器件的性能鉴定,在多数电路传递网络中,电容与电感组合电路,电容与电阻组合电路及信号调制器的频率,相位的检测中都可以得到广泛的应用。因此,研究信号发生器也是一个很重要的发展方向。 常用的信号发生器绝大部分是由模拟电路构成的,但这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不但参数准确度难以保证,而且体积和功耗都很大,而本课题设计的函数信号发生器,由单片机构成具有结构简单,价格便宜等特点将成为数字量信号发生器的发展趋势。 本课题采用的是以89c51为核心,结合 DAC0832实现程控一般波形的低频信号输出,他的一些主要技术特性基本瞒住一般使用的需要,并且它具有功能丰富,性能稳定,价格便宜,操作方便等特点,具有一定的推广作用。 二、课题意义: (1)任意信号发生器主要在实验中用于信号源,是电子电路等各种实验必不可少的实验设备之一,掌握任意信号发生器的工作原理至关重要。 (2)任意信号发生器能产生某些特定的周期性时间任意波形(正波、方波、三角波)信号,频率范围可从几个微赫到几十兆赫任意信号发生器在电路实验和设备检测中具有十分广泛的用途。 (3)本课题主要研究开发一个基于51单片机的实验用任意信号发生器,不但成本较低而精度较高,最重要的是开发简单易于调试,具有一定社会价值和经济价值。 (4)任意信号发生器作为一种常见的电子仪器设备,既能够构成独立的信号源,也可以是高新能的网络分析仪,频谱仪以及自动测试装备的组成部分,任意信号发生器的关键技术是多种高性能仪器的支撑技术,因为它是能够提高质量的精密信号源及扫描源,可使相应系统的检测过程大大简化,降低检测费用并且提高检测精度。

多路信号发生器的设计

毕业论文(设计)材料题目:多路信号发生器的设计 学生姓名:施乾东 学生学号:0908030228 系别:电气信息工程学院 专业:电子信息工程 届别:2013 指导教师:张大雷

一、毕业论文(设计)任务书 要求完成的主要任务及达到的目标 信号发生器是一种能提供各种频率、波形和输出电平电信号,常用作测试的信号源或激励源的设备。其又称信号源或振荡器,是可以测试产生所需参数的电测试信号的仪器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类,在生产实践和科技领域中有着广泛的应用。多路信号发生器是信号发生器的一种,其利用单片机控制和DAC0832进行数模转换,通过硬件电路和软件程序相结合,可正弦波、方波、三角波、梯形波及其他任意波形,波形的频率通过软件控制、幅度通过硬件在一定范围内可改变。该信号发生器相较于其他信号发生器,具有体积小、价格低、性能稳定的优点。 要求设计完成一个多路信号发生器: ?了解多种时钟信号的产生方法 ?了解虚拟仪器的具体实际应用 ?通过单片机控制74LS138译码器,对DAC0832进行片选控制基于共阴/阳数码管的方式研究 ?将所学的知识通过设计信号发生器实验可产生各种波形如正弦波、方波、三角波、锯齿波等;来加深对虚拟仪器技术的深层理解 要求所设计的多路信号发生器具有以下功能: 1、能够产生正弦波、矩形波、锯齿波等基本波形信号,并通过修改程序能够产 生任意波形的信号; 2、通过两个按键控制波形类型和频率,一个按键控制信号类型,按下键一依次 改变信号类型和停止产生波形;另一个按键改变信号频率; 3、信号频率、幅值、占空比可调 工作进度要求 2011.12.1——2011.12.28 撰写开题报告 2011.12.29——2011.12.31 拟定论文提纲 2012.1.1——2012.2.28 撰写论文初稿 2012.3.1——2012.4.31 论文修改 2012.5.1——2012.5.14 论文定稿

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

基于某DSP的任意信号发生器设计汇总情况

数字信号处理(DSP) 综合设计性实验报告 学院:电子信息工程学院 班级:通信0708 指导教师:高海林 学生:原凌云07211253 张丽康07211256

北京交通大学电工电子教学基地 2004年12月28日 目录 一、设计任务 (3) 二、实验目的 (3) 三、设计内容 (3) 四、实验原理 (4) 五、程序设计 (6) 1、程序源代码 2、实验截图和结果 六、实验总结 (22) 七、参考资料 (23)

一、设计任务书 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。信号发生器在现代工程中应用非常广泛。在实际中常需要产生一些特殊波形,用于仿真实际信号的波形,以检测和调试测量装置。 使用DSP 和D/A 转换器可以产生连续的正弦波信号,同样也能产生方波、锯齿波、三角波等其它各种信号波形。本设计要求采用DSP及其D/A转换器产生上述各种信号波形。 二、实验目的 (1)了解产生信号的两种方法及各自的优缺点。 (2)掌握使用DSP产生正弦波的原理和算法,进而掌握一般信号产生的原理和方法。 (3)掌握5402DSK CODECC(A/D、D/A)的工作原理和初始化过程。(4)掌握使用指针访问片上ROM中正弦查找表的方法。

三、设计内容 使用DSP 产生300—4000HZ 的正弦信号,要求使用查表法,测量产生的信号波形的频率和幅度,并且频率可变、幅度可变、直流分量可变。用软件CCS5000编程实现,并硬件(DSK 板或示波器)连接进行功能演示。 使用计算法产生余弦波分量。 发挥部分: (1)使用DSP 产生300—4000HZ 的方波、锯齿波和三角波。 (2)使用现有程序,实现不改变源程序,频率和幅度自动可调。 四、实验原理 产生连续信号的方法通常有两种:查表法和计算法,查表法不如计算法使用灵活。计算法可以使用泰勒级数展开法进行计算,也可以使用差分方程进行迭代计算或者直接使用三角函数进行计算。计算结果可以边计算边输出,也可以先计算后输出。 正弦函数和余弦函数的泰勒级数数学表达式为: =x sin ΛΛ+--+-+-+---)! 12()1(!9!7!5!31 219753n x x x x x x n n ,x ?),(∞-∞∈ =x cos ΛΛ+-+-+-+-)! 2()1(!8!6!4!2128 642n x x x x x n n ,x ?),(∞-∞∈. 如果要计算一个角度ⅹ的正弦和余弦值,可以取其前五项进行近似计算。 或使用下面递归的差分方程进行计算。 y [n ]=A*y [n -1]-y [n -2] 其中:A=2cos(x ),x =2πF/F S 。F —信号频率,

基于单片机的多功能信号发生器的系统设计与应用

基于单片机的多功能信号发生器的系统设计与应用 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。 随着集成芯片制造工艺的进一步发展,一些高性能的波形产生专用芯片逐渐被应用到该领域并获得成功。波形发生装置的电路设计得到进一步简化,而与此同时,所产生的波形的质量却得到了显著提高。例如应用比较广泛的DDS芯片AD9833系列,能制作出各种频带宽,质量高的波形信号,例如应用高性能的AD9833芯片,可以做出频率1GHZ以上,频率分辨率0.1HZ以下的优质波形[2]。 科技不断发展,在各个领域对信号产生电路提出了越来越高的要求。以往那些只具有单一优势的波形发生装置的应用越来越受到限制。例如用模拟器件构成的波形发生器电路简单可靠、信号频率较高,但可调节性差;采用数字电路为核心的波形发生装置所产生的信号可调节性好,但电路复杂,而频率又不易做的很高。较为理想的波形发生装置应该同时具备多方面的优良品质,信号的频带应该较宽,而且步进精确。另外,微型化也是信号产生装置的发展趋势之一,这样,才能将信号发生装置方便的嵌入到各种仪器设备中。随着芯片制造工艺的不断提高,性能更高、体积更小的专用信号处理芯片必将会越来越多地应用到信号产生电路中,使更高质量的信号的产生成为可能。 DDS技术的实现,一般有如下几种可选的方案。首先是使用专用的DDS芯片,例如应用比较广泛的DDS芯片AD9833系列。专用DDS芯片性能可靠,特别是在高频领域,有着无可替代的地位。但在中低频领域,专用DDS芯片却不一定是唯一的选择。

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

多功能信号发生器

电子技术课程设计题目:多功能信号发生器 院系:xxxxxxxxx 专业:xxxxxxxxxx 班级:xxxxxxxxxxxxxx 学号:xxxxxxxxxxxxxxxxxxxxxx 姓名: xxxxxxxxxxx 指导老师:xxxxxxxxxx 日期:2012年12月21日

目录 一.课程设计的目的............................................................................... 二.课程设计任务书............................................................................... 三.时间进度安排.................................................................................... 1. 方案选择及电路工作原理........................................................... 2. 单元电路设计计算、电路图及软件仿真........................................ 3. 安装、调试并解决遇到的问题....................................................... 4. 电路性能指标测试............................................................................ 5. 写出课程设计报告书........................................................................ 四.总体方案............................................................................................ 五.电路设计............................................................................................ 1.8038原理和LM318的原理.............................................................. 2.性能、特点及引脚............................................................................ 3.电路设计的原理............................................................................. 4.振动频率及参数计算........................................................................ 六.电路调试............................................................................................ 七.收获和体会.......................................................................................

相关文档
最新文档