正弦波发生、频率显示电路设计

正弦波发生、频率显示电路设计
正弦波发生、频率显示电路设计

江南大学物联网工程学院电子技术课程设计实验报告

实验名称:正弦波发生、频率显示电路设计

专业班级:_物联网工程1101___

实验时间:2013.6.18-2013.6.20

学生姓名:张威学号: 0306110119

同实验者:张杰实验成绩:

一、设计课题:正弦波发生及频率显示电路的设计

二、设计任务和要求:

1、振荡频率100~1000Hz,输出信号幅度5±5%V;

2、用三位数码管显示振荡频率;

3、能自动连续测量、显示频率,测量周期为4S;

4、用中规模集成电路实现。

三、设计方案及参考电路

(1)系统框图

图1 波形发生电路的组成

图2 总电路图草稿设计

正弦波发生及频率显示电路的框图如图1所示,它由正弦波振荡器及波形变换电路、5V电源电路、单稳态定时电路、计数器、译码显示电路、超量程指示电路和控制电路七部分组成。

1.正弦波发生及波形变换电路是由RC桥式正弦波振荡电路和电压比较

器组合而成的。

2.5V电源电路是主要利用三极管特性与运算放大器组合制作的

3.。1s定时电路是利用555定时器构成单稳态触发器来定时。

4.计数器设计为三位十进制计数器,采用MC14553三位BCD加法计数

器。

5.显示译码电路选用CD4511显示译码器,利用三极管驱动数码管,把计

数器计到的脉冲数用十进制数字显示出来。

6.超量程指示电路是由或非门构成的一个基本RS触发器。

7.控制电路实际上是一低频信号发生器,根据数字式电容计的工作原理来

设计,采用CD4001芯片。

(2)单元电路设计与元器件的选择

1、+5V电源电路

图3 +5V电源电路

2、正弦波发生及波形变换电路

因振荡频率要求不高,故采用RC文氏振荡器。考虑到要数字显示振荡频率,需对正弦波进行变换以便计数。正弦波发生以及波形变换电路如图4所示。

图4 正弦波发生及波形变换电路

RC桥式正弦波振荡电路以RC串并联网络为选频网络和正反馈网络,以电压串联负反馈电路为放大环节,具有振荡频率稳定、带负载能力强、输出电压失真小等优点。波形变换电路用电压比较器来实现正弦波到方波的变换。

电路要产生正弦波需满足起振条件和幅值条件Au=(1+Rf/R1) >=3,即Rf >= 2R1=4kΩ,调节电位器Rf使之略大于4kΩ;RC桥式电路中应调节电

位器R2,使R2=R=10kΩ。

3、单稳态定时电路

为了便于测量换算,设计一个1S定时电路,在该定时范围内所测的脉冲个数即为振荡频率。定时电路如图5。

图5 1s定时电路

用555定时器构成单稳态定时电路,有Tw=1.1RC=1.1*0.47*2=1.034≈1s,式中R和C为定式电阻和电容。

在电路中加入由C r和R1组成的微分电路,这样单稳态电路只要靠输入的下降沿触发。考虑到定时精度和测量速度,取R1=91kΩ。

4、频率计数显示电路

计数电器选用MC14553芯片,这是一片3位BCD加法计数器芯片,由选择端DS1,DS2,DS3控制每时刻只输出一位BCD码。

显示译码器选用CD4511芯片,该芯片具有BCD七段锁存/驱动的功能。计数显示电路如图6所示

图6 计数及显示译码电路

(a) 计数器的选用计数器采用MC14553,它是三位BCD加法计数器,集成电路的引脚排列图和功能表如图7和表1所示。

图7 MC14553引脚图

(b) MC14553功能说明MC14553逻辑结构示意图如图7所示,

MC14553集成电路由三个同步级联的下降沿触发的BCD计数器、三个锁存器以及分配锁存器的多路传输器组成。此外,还有时钟输入端的整形电路,分配多路

传输器的时序扫描电路和振荡电路,以及用于显示控制的数据选择输出DS

1

、DS

2

DS

3

组成。

图8 MC14553逻辑结构示意图

图8中,振荡器提供多路数据选择器的低频扫描时钟脉冲,振荡器的振荡

频率取决于连接在引出端③和④之间的外接电容C

1

的大小,若需外部时钟,也可以从引出端④处引入。振荡器产出的扫描时钟信号与三个为选择输出信号的时序关系见图9所示。

图9 扫描时钟信号与位选择

在复位端“R”上施加“1”电平时,复位信号同时作用于BCD计数器、振荡器和多路扫描电路,使得扫描电路处在初始状态,扫描振荡器禁止振荡,同时

置所有的三个位选择输出DS

1~DS

3

为“1”电平,从而不允许显示。

当时钟禁止端“1NH”为“1”时,禁止时钟脉冲“CL"输入BCD计数器,计数器保存禁止前的最后计数状态。输入端得脉冲整形电路允许输入上升时间或下降时间很缓慢的信号输入计数器并可靠的工作。

当锁存器的锁存允许端“LE”为“1”时,锁存器呈锁存状态,保持原有锁存器内的信息。这时BCD计数器即使施加复位信号,锁存器仍然保持原有信息。若需将锁存器内的信息清除锁存器“LE”端加“0”电平。

MC14533电路还提供了一个溢出输出端“OF”,计数器每逢输入第1000个时钟脉冲的上升沿时,一处端“OF”输出一个完整的脉冲。该脉冲结束于上述条件下输入时钟的下降沿。

(c) 计数器电路的连接根据C-T转换电路在转换期间的输出时高电平,以及要用来控制计数器计数。可从表1有灰色的三行中看出,将C-T转换电路的输出加到“CL”端,即使脉冲从“INH”端引入。计数器其他电路的连接电路如图11所示。

(5) 显示译码电路的设计

(a) 显示译码器的选用显示译码器选用CD4511,CD4511是BCD七段

锁存/译码器/驱动器,其引脚排列图和功能表分别如图10和表2所示。

图10 CD4511引脚图

CD4511具有内部抑制非BCD码输入的电路,当输入为非BCD码时,译码器的七个输出端全为“0”电平,显示器暗(又称为消隐)。

在MC14511的输入端有四位锁存器,LE为选通端,当LE为“0”电平

时允许BCD 码输入端;当LE 为“1”电平时锁存。MC14511每段的输出驱动电流可达25mA ,因此在驱动LED 做管时要加限流电阻。

限流电阻的选取 显示译码电路中的限流电阻、三极管的基极电阻和三级

管的计算与选择可按图11所示的方法进行。设图中的三极管V 工作在放大区,|V CE |=2V,则

实际的显示译码电路中,一个三极管要驱动一只数码管,即要驱动七只发光二极管,而且在扫描显示中,数码管的每段电流要大一些。设每段电流为15mV ,基极电流由MC14553的输出驱动电流限制,设为1.3mV 。这样,可算得R=133,取130,R b =1k,β=15*7/1.3≈80,在这里,选用的三极管的β值要大于80,I CM 要大于105mA 。

图11 译码电路元器件参数计算示意图

5、超量程指示电路

当计数器MC14553到1000个脉冲时,“OF ”端会输出一个正脉冲,该信号连接到超量程指示电路,驱动发光管发光,表示信号频率超范围需调整。超量程指示电路如图12所示。

Ω

=-=Ω

=--=.6k 2.50.702200102

26B

R R 205.010

==

β三极管的

图12 超量程指示电路

6、控制电路

控制电路实际上是一低频信号发生器,振荡周期为4S,其精度要求不高,用其生产方波和尖脉冲信号,分别用来触发单稳态电路、超量程指示电路复位和计数器清零。电路如图13所示。

图13 控制电路

2. 总电路图

图14 总电路

四、电路的调试与测量

1、调试内容及步骤:

(1) 测正弦波发生及波形变换电路,用示波器观察正弦波与方波的产生:先

测量RC桥式正弦波正当电路的输出端,调节50kΩ电位器直至观察

到正弦波;再测量Uoa,调试使之产生方波;

(2) 测5V电源电路:用万用表测三极管发射极与GND之间的电压,5V左

右即为正常;

(3) 测555构成的定时电路:测输出电平Uob,T=4s,1s高电平,3s低电

平周期循环;

(4) 检查MC14553、CD4511、CD4001M、MC14511等有无接错的地方;

(5) 最后接通电源,各部分电路运作正常,成功地完成了实验;

(6) 实验结束后,拆面包板,整理回收为损坏的器件。

2、以下为调试成功后的实物图:

图15 正弦波的发生图16 成功显示的方波

图17 成功显示的面包板

3、调试中存在的问题及其解决方法:

(1)电路不产生正弦波,741芯片发热:电路中存在管脚短接现象,电位器

坏了,不能调节;用万用表逐个查找短路的地方;

(2)正弦波失真严重,调试;

(3)555定时电路输出信号失真,调试;调试失败,一直未能解决;

六、课程设计的收获与体会

通过这次课程设计,我收获了更多的知识和经验,使得我对抽象的理论有了具体的认识,掌握了常用元件的识别和测试;熟悉了常用的仪器仪表;加深了对电路的分析能力,学会了面包板上熟练的连接电子电路及安装与调试;同时让我懂得了无论做任何工作都要具有良好的心理素质与专业素质。作为工科学生理论与实践相结合是必不可少的能力,这次亲身体验使我意识到一个元器件甚至一根导线的接错,都会影响整个实验的成败与否,为以后的继续学习积累了一笔宝贵的财富。

此次实验暴露出我们在理论学习中所存在的问题,有些理论知识还处于懵懂状态,只知其一不知其二。实验中我们遇到很多问题,比如:波形失真,甚至不出波形这样的问题。这时团结合作的重要性便体现出来,往往自己的错误自己是检查不出来的。两个人相互配合,错误才得以被找出解决。还有一点意外的收获就是在完成报告的时候,大量的公式使我彻底地掌握了word当中公式编辑器的使用。虽然这对于理工科学生是必要的基本功,但之前很少在报告中引用公式,这回算是完全掌握了。总之这次的课题设计让我收获颇多。

七、所用的相关器件清单:

正弦波振荡器设计multisim(DOC)

摘要 自激式振荡器是在无需外加激励信号的情况下,能将直流电能转换成具有一定波形、一定频率和一定幅值的交变能量电路。正弦波振荡器的作用是产生频率稳定、幅度不变的正弦波输出。基于频率稳定、反馈系数、输出波形、起振等因素的综合考虑,本次课程设计采用电容三点式振荡器,运用multisim软件进行仿真。根据静态工作点计算出回路的电容电感取值,得出输出频率与输出幅度有效值以达到任务书的要求。 关键词:电容三点式;振荡器;multisim;

目录 1、绪论 (1) 2、方案的确定 (2) 3、工作原理、硬件电路的设计和参数的计算 (3) 3.1 反馈振荡器的原理和分析 (3) 3.2. 电容三点式振荡单元 (4) 3.3 电路连接及其参数计算 (5) 4、总体电路设计和仿真分析 (6) 4.1组建仿真电路 (6) 4.2仿真的振荡频率和幅度 (7) 4.3误差分析 (8) 5、心得体会 (9) 参考文献 (10) 附录 (10) 附录Ⅰ元器件清单 (10) 附录Ⅱ电路总图 (11)

1、绪论 振荡器是不需外信号激励、自身将直流电能转换为交流电能的装置。凡是可以完成这一目的的装置都可以作为振荡器。一个振荡器必须包括三部分:放大器、正反馈电路和选频网络。放大器能对振荡器输入端所加的输入信号予以放大使输出信号保持恒定的数值。正反馈电路保证向振荡器输入端提供的反馈信号是相位相同的,只有这样才能使振荡维持 下去。选频网络则只允许某个特定频率0f能通过,使振荡器产生单一频率的输出。 振荡器能不能振荡起来并维持稳定的输出是由以下两个条件决定的;一个是反馈电压 U和输入电压i U要相等,这是振幅平衡条件。二是f U和i U必须相位相同,这是相位f 平衡条件,也就是说必须保证是正反馈。一般情况下,振幅平衡条件往往容易做到,所以在判断一个振荡电路能否振荡,主要是看它的相位平衡条件是否成立。 本次课程设计我设计的是电容反馈三点式振荡器,电容三点式振荡器,也叫考毕兹振荡器,是自激振荡器的一种,这种电路的优点是输出波形好。电容三点式振荡器是由串联电容与电感回路及正反馈放大器组成。因振荡回路两串联电容的三个端点与振荡管三个管脚分别相接而得名。 本课题旨在根据已有的知识及搜集资料设计一个正弦波振荡器,要求根据给定参数设计电路,并利用multisim仿真软件进行仿真验证,达到任务书的指标要求,最后撰写课设报告。报告内容按照课设报告文档模版的要求进行,主要包括有关理论知识介绍,电路设计过程,仿真及结果分析等。 主要技术指标:输出频率9 MHz,输出幅度(有效值)≥5V。

正弦波-方波-三角波信号发生器设计要点

苏州科技学院天平学院 模拟电子技术课程设计指导书 课设名称正弦波-方波-三角波信号发生器设计 组长李为学号1232106101 组员谢渊博学号1232106102 组员张翔学号1232106104 专业电子物联网 指导教师 二〇一二年七月 模拟电子技术课程设计指导书

一设计课题名称 正弦波-方波-三角波信号发生器设计 二课程设计目的、要求与技术指标 2.1课程设计目的 (1)巩固所学的相关理论知识; (2)实践所掌握的电子制作技能; (3)会运用EDA工具对所作出的理论设计进行模拟仿真测试,进一步完善理论设计;(4)通过查阅手册和文献资料,熟悉常用电子器件的类型和特性,并掌握合理选用元器件的原则; (5)掌握模拟电路的安装\测量与调试的基本技能,熟悉电子仪器的正确使用方法,能力分析实验中出现的正常或不正常现象(或数据)独立解决调试中所发生的问题; (6)学会撰写课程设计报告; (7)培养实事求是,严谨的工作态度和严肃的工作作风; (8)完成一个实际的电子产品,提高分析问题、解决问题的能力。 2.2课程设计要求 (1)根据技术指标要求及实验室条件设计出电路图,分析工作原理,计算元件参数;(2)列出所有元器件清单; (3)安装调试所设计的电路,达到设计要求; 2.3技术指标 (1)输出波形:方波-三角波-正弦波; (2)频率范围:100HZ~200HZ连续可调;

(3)输出电压:正弦波-方波的输出信号幅值为6V.三角波输出信号幅值为0~2V连续可调; γ。 (4)正弦波失真度:% ≤ 5 三系统知识介绍 3 函数发生器原理 本设计要求产生三种不同的波形分别为正弦波\方波\ 三角波。实现该要求有多种方案。 方案一:首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波。 方案二:首先产生方波——三角波,再将方波变成正弦波或将三角波变成正弦波。 3.1函数发生器的各方案比较 我选的是第一个方案,上述两个方案均可以产生三种波形。方案二的电路过多连接部方便而且这样用了很多元器件,但是方案的在调节的时候比较方便可以很快的调节出波形。方案一电路简洁利于连接可以节省元器件,但是在调节波形的时候会比较费力,由于整个电路时一起的只要调节前面部分就会影响后面的波形。 四电路方案与系统、参数设计 4.1基于集成运算放大器与晶体管差分放大器的函数发生器 4.1.1设计思路 我们组总体设计思路为:先通过比较器产生方波,方波通过积分器产生三角波,三角波通过差分放大器产生正弦波。 函数发生器电路组成框图如下所示

LC正弦波振荡电路的仿真分析

摘要 振荡器的种类很多,适用的范围也不相同,但它们的基本原理都是相同的,都由放大器和选频网络组成,都要满足起振,平衡和稳定条件。然后通过所学的高频知识进行初步设计,由于受实践条件的限制,在设计好后,我利用了模拟软件进行了仿真与分析。为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我选用的仿真软件是Multisim10.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 关键词:LC振荡回路;仿真;正弦波信号;Multisim软件;

目录 一、绪论 (1) 二、方案确定 (1) 2.1电感反馈式三端振荡器 (2) 2.2电容反馈式三端振荡器 (3) 2.3 振荡平衡条件一般表达式 (4) 2.4起振条件和稳幅原理 (4) 三、LC振荡器的基本工作原理 (4) 四、总电路设计和仿真分析 (5) 4.1软件简介 (5) 4.2 总电路设计 (7) 4.3 进行仿真 (8) 4.4 各个原件对电路的影响 (11) 五、心得体会 (12) 参考文献 (13) 附录 (14) 电路原理图 (14) 元器件清单 (14)

一、绪论 在本课程设计中,对LC正弦波振荡器的仿真分析。正弦波振荡器用来产生正弦交流信号的电路,它广泛应用于通信、电视、仪器仪表和测量等系统中。在通信方面,正弦波震荡器可以用来产生运载信息的载波和作为接收信号的变频或调解时所需要的本机振荡信号。医用电疗仪中,用高频加热。在课程设计中,学习Multisim软件的使用,以及锻炼电子仿真的能力,我选用的仿真软件是Multisim10.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。 我利用了仿真软件对电路进行了一写的仿真分析,得到了与理论值比较相近的结果,这表明电路的原理设计是比较成功的,本次课程设计也是比较成功的。 本课程设计中要求设计的正弦波振荡器能够输出稳定正弦波信号,本设计中所涉及的仿真电路是比较简单的。但通过仿真得到的结论在实际的类似电路中有很普遍的意义。 二、方案确定 通过对高频电子线路相关知识的学习,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路和西勒电路)等。其中互感反馈易于起振,但稳定性差,适用于低频,而电容反馈三点式振荡器稳定性好,输出波形理想,振荡频率可以做得较高。我们这里研究的主要是LC三端式振荡器。

RC正弦波振荡器电路设计及仿真

《电子设计基础》 课程报告 设计题目: RC正弦波振荡器电路设计及仿真学生班级: 学生学号: 学生姓名: 指导教师: 时间: 成绩: 西南xx大学 信息工程学院

一.设计题目及要求 RC正弦波振荡器电路设计及仿真,要求: (1)设计完成RC正弦波振荡器电路; (2)仿真出波形,并通过理论分析计算得出频率。 二.题目分析与方案选择 在通电瞬间电路中瞬间会产生变化的信号且幅值频率都不一样,它们同时进入放大网络被放大,其中必定有我们需要的信号,于是在选频网络的参与下将这个信号谐振出来,进一步送入放大网络被放大,为了防止输出幅值过大所以在电路中还有稳幅网络(如图一中的两个二极管),之后再次通过选频网络送回输入端,经过多次放大稳定的信号就可以不断循环了,由于电路中电容的存在所以高频阻抗很小,即无法实现放大,且高频在放大器中放大倍数较小。 三.主要元器件介绍 10nf电容两个;15kΩ电阻一个;10kΩ电阻三个;滑动变阻器一个;2.2k Ω电阻一个;二极管两个;运算放大器;示波器 四.电路设计及计算 电路震荡频率计算: f=1/2πRC

起振的复制条件:R f/R i>=2 其中R f=R w+R2+R3/R d 由其电路元件特性 R=10KΩ C=10nF 电路产生自激震荡,微弱的信号1/RC 经过放大,通过反馈的选频网络,使输出越来越大,最后经过电路中非线性器件的限制,使震荡幅度稳定了下来,刚开始时A v=1+R f/R i >3。 平衡时A v=3,F v=1/3(w=w0=1/RC) 五.仿真及结果分析 在multisim中进行仿真,先如图一连接好电路,运行电路,双击示波器,产生波形如下图 图2 刚开始运行电路时,输出波形如图2,几乎与X轴平行,没有波形输出。

1KHZ桥式正弦波振荡器电路的设计与制作

目录 摘要 (2) 1.系统基本方案 (2) 1.1 正弦波振荡电路的选择与论证 (2) 1.2. 运算放大器的选择 (3) 1.3最终的方案选择 (3) 2.正弦波发生器的工作原理 (3) 2.1正弦波振荡电路的组成 (3) 2.1.1 RC选频网络 (3) 2.1.2放大电路 (6) 2.1.3正反馈网络 (6) 2.2产生正弦波振荡的条件 (6) 2.3.判断电路是否可能产生正弦波的方法和步骤 (7) 3.系统仿真 (7) 4.结论 (8) 参考文献: (11) 附录 (13)

1KHZ 桥式正弦波震荡器电路的设计与制作 摘要 本设计的主要电路采用文氏电桥振荡电路。如图1-1文氏桥振荡电路由放大电路和选频网络两部分组成,施加正反馈就产生振荡,振荡频率由RC 网络的频 率特性决定。它的起振条件为: ,振荡频率为: 。运算放大 器选用LM741CN,采用非线性元件(如温度系数为负的热敏电阻或JFET )来自动调节反馈的强弱以维持输出电压的恒定,进而达到自动稳幅的目的,这样便可以保证输出幅度为2Vp-p ;而频率范围的确定是根据式RC f π21 0= 以及题目给出的频 率范围来确定电阻R 或电容C 的值,进而使其满足题目的要求。 关键词:文氏电桥、振荡频率、LM741CN 1.系统基本方案 1.1 正弦波振荡电路的选择与论证 本设计选用文氏电桥振荡电路。

图1 RC 桥式振荡电路 这种电路的特点是:它由放大器即运算放大器与具有频率选择性的反馈网络构成,施加正反馈就产生振荡。振荡频率由RC 网络的频率特性决定。它的起振条件为: 12R R f > 。它的振荡频率为:RC f π21 0= 。 1.2. 运算放大器的选择 考虑到综合性能和题目要求的关系这里我们选用LM741CN 作为运算放大。 1.3最终的方案选择 文氏电桥振荡电路适用的频率范围为几赫兹到几千赫兹,可调范围宽,电路简单易调整,同时波形失真系数为千分之几。很适合我们题目的要求。故采用文氏电桥振荡电路. RC 文氏电桥振荡电路是以RC 选频网络为负载的振荡器. 这个电路由两部分组成,即放大电路和选频网络。放大电路由集成运放所组成的电压串联负反馈放大电路,取其输入阻抗高和输出阻抗低的特点。而选频网络则由Z1、Z2组成,同时兼做正反馈网络。 2正弦波发生器的工作原理 2.1正弦波振荡电路的组成 放大电路 选频网络 正反馈网络 2.1.1 RC 选频网络

电子电路设计实验LAB4正弦波振荡器设计2016

华侨大学电子工程系 电子电路设计实验 模数电技术 Lab # 4 正弦波振荡器设计 实 验 时 间2016 年第 周 机电信息实验大楼A526 文 档 名 称 正弦波振荡器设计 文 档 类 型 实验教学文档 文 档 撰 写 HWW 文 档 版 本 Ver:1.2 更 新 时 间 2014.04.15 更 新 内 容 结构调整,优化已知错误 文 档 更 新 新建文档,配套实验报告 支 持 软 件 NI Multisim 12 适 用 专 业 电子信息工程/集成电路设计专业华侨大学厦门专用集成电路与系统重点实验室

国立华侨大学 信息科学与工程学院电子工程系 电子电路设计实验 模数电技术 #4 正弦波振荡器设计 实验指导教师:HWW 实验时间::2016- - : - : 地点:机电信息实验大楼A526 实验要求说明: 1.完成实验报告内容中的预习部分的内容 2.独立完成实验,实验中不清楚的可以相互讨论或询问指导老师 3.数据严禁抄袭,发现抄袭现象,抄袭者和被抄袭者本次实验都得0分 4.实验需要先打印实验报告第一页,用于实验数据签字确认,实验完成后经实验指导老师签字后方可离开。数据记录中因为存在仿真波形抓取,所以等实验完成后再打印实验报告后几页。 5.本次实验的实验报告(封面+实验内容装订一起)在下次实验课时一起缴交 正弦波振荡器概述 运放振荡器是有意设计成维持不稳定状态的电路,可以用来产生均匀的信号,这种均匀的信号可以在许多运用中作为基准信号:比如可以应用在音频电路、函数发生器、数字系统和通信系统。振荡器可以分为两大类:正弦波振荡器和张弛振荡器、正弦波振荡器由放大器和RC或LC电路构成,这种振荡器的频率是可调的;正弦波振荡器也可以使用晶振构成,但是晶振的振荡频率是固定的。弛张振荡器可以用来产生三角波、锯齿波、方波、脉冲波或指数型波形。本实验讨论的是正弦波振荡器的设计。 运放的正弦波振荡器的工作不需要外加输入信号,这种振荡器利用了正反馈或负反馈的某些组合把运放驱动到不稳定的状态,这样输出就不断的来回翻转。振荡的幅度和频率可以通过围绕中心运放的那些无源和有源器件共同设定。 需要注意的是运放的振荡器被限制在频谱的低频区,因为运放没有足够的带宽以实现高频下的低相移。电压反馈运放被限制在很低的数千赫范围,因为开路的主极点可以低到10Hz。晶振可以拥有高到数百兆赫的高频范围。 图4.1 带有正反馈或负反馈的反馈系统

基于Multisim的RC正弦波振荡电路仿真分析

龙源期刊网 https://www.360docs.net/doc/035054665.html, 基于Multisim的RC正弦波振荡电路仿真分析 作者:李咏红 来源:《数字技术与应用》2012年第11期 摘要:采用Multisim10为工作平台对RC桥式正弦波振荡电路进行了仿真分析,讨论起振条件、稳幅环节,并通过仿真示波器观察了起振过程和振荡波形,仿真的结果与理论分析结果一致,说明将Multisim软件应用在电子技术教学中,可使教学更生动形象,利于学生对抽象原理的理解,提高课堂理论教学的教学质量。 关键词:Multisim RC桥式振荡电路仿真分析 中图分类号:TN752 文献标识码:A 文章编号:1007-9416(2012)11-0206-02 振荡电路是在无外加输入信号的情况下,能自动产生一定波形、一定频率和振幅的交流信号的一类电路,按振荡波形可分为正弦波振荡电路和非正弦波振荡电路两大类[1]。正弦波振 荡电路是一种基本的电子电路,广泛应用于量测、遥控、通讯、自动控制、热处理和超声波电焊等加工设备之中,也作为模拟电子电路的测试信号[2]。无论对于哪种振荡电路,用传统方 法精确分析起振、振幅、振荡频率的大小都是十分困难的,而用Multisim软件则可灵活方便的进行仿真分析。下面用Multisim软件对RC桥式正弦波振荡电路进行仿真分析[3]。 1、Multisim软件的特点 随着计算机的飞速发展,以EDA技术已经成为电子学领域的重要学科。EDA工具摒弃了靠硬件调试来达到设计目标的繁琐过程,实现了硬件设计软件化。NI Multisim10是美国国家仪器公司推出的Multisim最新版本。 NI Multisim10为用户提供了一个集成一体化的设计实验环境,建立电路、仿真分析和结果输出在一个集成菜单中可以全部完成,仿真手段切合实际,元器件和仪器与实际情况非常接近。NI Multisim10元件库中不仅有数千种电路元器件、虚拟测试仪器可供选用,而且与较常用的电路分析软件PSPICE提供的元器件完全兼容。Multisim还提供了丰富的分析功能,可对模拟电路或数字电路分别进行仿真,也可进行数模混合仿真,尤其是新增了射频(RF) 电路的仿真功能;因此功能强大的Multisim仿真软件非常适合电子类课程的教学和实验[4、5]。 2、RC正弦波振荡电路仿真分析 2.1创建仿真电路图

正弦波振荡电路设计

课程设计任务书 学生姓名:专业班级: 指导老师:刘辛工作单位:武汉理工大学理学院 题目:正弦波振荡电路设计 初始条件:直流可调稳压电源一台、示波器一台、万用表一块、面包板一块、元器件若干、剪刀、镊子等必备工具 要求完成的主要任务:(包括课程设计工作量及其技术要求以及说明书撰写等具体要求)1、技术要求: 设计一个正弦波振荡电路,使它能输出频率一定的正弦波信号,振荡频率测量值与理论值的相对误差小于±5%,电源电压变化±1V时,振幅基本稳定,振荡波形对称,无明显非线性失真。 2、主要任务: (一)设计方案 (1)按照技术要求,提出自己的设计方案(多种)并进行比较; (2)以模拟器件电路为主,设计一个正弦波振荡电路(实现方案); (3)依据设计方案,进行预答辩; (二)实现方案 (4)根据设计的实现方案,画出电路逻辑图和装配图; (5)查阅资料,确定所需各元器件型号和参数; (6)在面包板上组装电路; (7)自拟调整测试方法,并调试电路使其达到设计指标要求; (8)撰写设计说明书,进行答辩。 3、撰写课程设计说明书: 封面:题目,学院,专业,班级,姓名,学号,指导教师,日期 任务书 目录(自动生成) 正文:1、技术指标;2、设计方案及其比较;3、实现方案; 4、调试过程及结论; 5、心得体会; 6、参考文献 成绩评定表 时间安排: 课程设计时间:17周-18周 17周:明确任务,查阅资料,提出不同的设计方案(包括实现方案)并答辩; 18周:按照实现方案进行电路布线并调试通过;撰写课程设计说明书。 指导教师签名:年月日 系主任(或负责老师)签名:年月日

正弦波振荡电路 1.技术指标 1.1初始条件 直流可调稳压电源一台、示波器一台、万用表一块、面包板一块、元器件若干、剪刀、 镊子等必备工具。 1.2技术要求 设计一个正弦波振荡电路,使它能输出频率一定的正弦波信号,振荡频率测量值与理论值的相对误差小于±5%,电源电压变化±1V时,振幅基本稳定,振荡波形对称,无明显非线性失真。 1.3正弦波振荡电路原理 正弦波振荡电路是一个没有输入信号,依靠自激振荡产生正弦波输出信号的电路。正弦波振荡电路也称为正弦波振荡器,其实质是放大器引正反馈的结果。正弦波振荡电路一般由放大电路、选频网络、正反馈电路、稳幅环节四部分组成。选频网络通常不是独立存在,有时和正反馈网络合二为一,有时和放大电路合二为一。其基本原理如下:在直流电源闭合的瞬间,频率丰富的干扰信号串入振荡电路的输入端,经过放大后出现在电路的输出端,但是由于幅值很小而频率又杂,不是所要求的信号。此信号再经过选频及正反馈网络把某一频率信号筛选出来(而其他信号被抑制),再送回放大电路的输入端,整个电路的回路增益应略大于1,这样不断循环放大,得到失真的输出信号,最后经稳幅环节可输出一个频率固定、幅值稳定的正弦波信号。 总的来说,正弦波振荡电路大致作用过程如图1所示: 图1 正弦波振荡电路作用过程 2.设计方案及其比较 正弦波振荡电路的类型根据选频网络的组成元件可大致分为RC正弦波振荡电路、LC

RC正弦波振荡电路设计

题目:RC正弦波振荡电路的设计校名:福州大学至诚学院 年级班级: 姓名: 学号:210992 指导教师:

目录 一、RC正弦波振荡电路原理 (1) 二、设计指导要求 (2) 三、RC正弦振荡电路图 (2) 四、参数计算 (3) 五、安装调试 (4) 六、设计结论 (5) 七、心得体会 (6) 八、参考文献 (6)

一、RC正弦波振荡电路原理 采用RC选频网络构成的振荡电路称为RC振荡电路,它使用于低频振荡,一般用于产生1HZ~1MHZ的低频信号。常用的RC振荡电路有RC桥式振荡电路和RC移相式振荡电路。 RC桥式振荡电路 RC桥式振荡电路如图所示,RC串并联网络接在运算放大器的输出端和同相端构成了带有选频作用的正反馈电路,另外、Rf、R1接在运算放大器的输出端与反相端之间,与集成运放一起构成负反馈放大电路。 对于负反馈放大电路,输入信号由同相端输入,根据虚短,虚断可求

得负反馈带你呀放大倍数 振幅起振条件: 二、设计指导要求 要求:设计一个振荡频率f=500HZ的RC正弦波振荡电路。 内容要求:1、设计报告,元器件清单 2、组装,调整RC正弦振荡电路,使电路产生振荡输出。 3、当输出波形稳定且不失真时,测出输出电压的频率和 幅值,检验电路是否满足设计指标。若不满足,调整设计参数。 4、若要求输出500HZ的方波,余姚增加哪些元件予以实 现? 三、RC正弦振荡电路

集成运放 四、参数计算 令 R1=R2=R , C1=C2=C f0=1 / 2πRC 取 R=16K ,f0=500HZ

C=1 / 2πRf0 =0.02 uf 取标准电容 0.022uf R F≥2.1 R3 R=R3∥R F R3=3.1R/2.1≈24K R F= R f∥rd+Rp rd=10K 二极管取IN4007 R f=10K R p=68K 五、安装调试

正弦波信号发生器设计(课设)

课程设计I(论文)说明书 (正弦波信号发生器设计) 2010年1月19日

摘要 正弦波是通过信号发生器,产生正弦信号得到的波形,方波是通过对原信号进行整形得到的波形。 本文主要介绍了基于op07和555芯片的正弦波-方波函数发生器。以op07和555定时器构成正弦波和方波的发生系统。Op07放大器可以用于设计正弦信号,而正弦波可以通过555定时器构成的斯密特触发器整形后产生方波信号。正弦波方波可以通过示波器检验所产生的信号。测量其波形的幅度和频率观察是否达到要求,观察波形是否失真。 关键词:正弦波方波 op07 555定时器

目录 引言 (2) 1 发生器系统设计 (2) 1.1系统设计目标 (2) 1.2 总体设计 (2) 1.3具体参数设计 (4) 2 发生器系统的仿真论证 (4) 3 系统硬件的制作 (4) 4 系统调试 (5) 5 结论 (5) 参考文献 (6) 附录 (7) 1

引言 正弦波和方波是在教学中经常遇到的两种波形。本文简单介绍正弦波和方波产生的一种方式。在这种方式中具体包含信号发生器的设计、系统的论证、硬件的制作,发生器系统的调制。 1、发生器系统的设计 1.1发生器系统的设计目标 设计正弦波和方波发生器,性能指标要求如下: 1)频率范围100Hz-1KHz ; 2)输出电压p p V ->1V ; 3)波形特性:非线性失真~γ<5%。 1.2总体设计 (1)正弦波设计:正弦波振荡电路由基本放大电路、反馈网络、选频网 络组成。

2 图1.1 正弦波振荡电路产生的条件是要满足振幅平衡和相位平衡,即AF=1; φa+φb=±2nπ;A=X。/Xid; F=Xf/X。;正弦波振荡电路必须有基本放大电路, 本设计以op07芯片作为其基本放大电路。 基本放大电路的输出和基本放大电路的负极连接电阻作为反馈网络。反馈网络中 两个反向二极管起到稳压的作用。振荡电路的振荡频率f0是由相位平衡条件决 定的。一个振荡电路只在一个频率下满足相位平衡条件,这要求AF环路中包含 一个具有选频特性的选频网络。f0=1/2πRC。要实现频率可调,在电容C不变的 情况下电阻R可调就可以实现频率f0的变化。 (2)方波设计:方波可以把正弦波通过斯密特触发器整形后产生。基于555定时器接成的斯密特触发器。 设斯密特触发器输出波形为V1,V2且V1>V2。 输入正弦波v1从0逐渐升高的过程:v1<1/3Vcc时,输出v0=V1; 当1/3Vcc2/3Vcc时,v0=V2; 输入正弦波v1从高于2/3Vcc开始下降的过程:当1/3Vcc

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

RC正弦波振荡器设计实验

综合设计 正弦波振荡器的设计与测试 一.实验目的 1. 掌握运用Multisim 设计RC 振荡电路的设计方法 2. 掌握RC 正弦波振荡器的电路结构及其工作原理 3. 熟悉RC 正弦波振荡器的调试方法 4. 观察RC 参数对振荡器的影响,学习振荡器频率的测定方法 二.实验原理 在正弦波振荡电路中,一要反馈信号能够取代输入信号,即电路中必须引入正反馈;二要有外加 的选频网络,用以确定振荡频率。正弦波振荡的平衡条件为:.. 1AF = 起振条件为.. ||1AF > 写成模与相角的形式:.. ||1AF = 2A F n πψ+ψ=(n 为整数) 电路如图1所示: 1. 电路分析 RC 桥式振荡电路由RC 串并联选频网络和同相放大电路组成,图中RC 选频网络形成正反馈电路, 决定振荡频率0f 。1R 、f R 形成负反馈回路,决定起振的幅值条件,1D 、2D 是稳幅元件。 该电路的振荡频率 : 0f =RC π21 ① 起振幅值条件:311 ≥+ =R R A f v ② 式中 d f r R R R //32+= ,d r 为二极管的正向动态电阻 2. 电路参数确定 (1) 根据设计所要求的振荡频率0f ,由式①先确定RC 之积,即 RC= 21 f π ③ 为了使选频网络的选频特性尽量不受集成运算放大器的输入电阻i R 和输出电阻o R 的影响,应使

R 满足下列关系式:i R >>R>>o R 一般i R 约为几百千欧以上,而o R 仅为几百欧以下,初步选定R 之后,由式③算出电容C 的值,然后再算出R 取值能否满足振荡频率的要求 (2) 确定1R 、f R :电阻1R 、f R 由起振的幅值条件来确定,由式②可知f R ≥21R , 通常 取f R =(2.1~2.5)1R ,这样既能保证起振,也不致产生严重的波形失真。此外,为了减小输入失调电流和漂移的影响,电路还应满足直流平衡条件,即: R=1R //f R (3) 确定稳幅电路:通常的稳幅方法是利用v A 随输出电压振幅上升而下降的自动调节作用实 现稳幅。图1中稳幅电路由两只正反向并联的二极管1D 、2D 和电阻3R 并联组成,利用二极管正向动态电阻的非线性以实现稳幅,为了减小因二极管特性的非线性而引起的波形失真,在二极管两端并联小电阻3R 。实验证明,取3R ≈d r 时,效果最佳。 三.实验任务 1.预习要求 (1) 复习RC 正弦波振荡电路的工作原理。 (2) 掌握RC 桥式振荡电路参数的确定方法 2. 设计任务 设计一个RC 正弦波振荡电路。其正弦波输出要求: (1) 振荡频率:接近500Hz 或1kHz 左右,振幅稳定,波形对称,无明显非线性失真 (2)* 振荡频率:50Hz~1kHz 可调,其余同(1) 四.实验报告要求 1. 简述电路的工作原理和主要元件的作用 2. 电路参数的确定 3. 整理实验数据,并与理论值比较,分析误差产生的原因 4. 调试中所遇到的问题以及解决方法 五.思考题 1. 在RC 桥式振荡电路中,若电路不能起振,应调整哪个参数?若输出波形失真应如何调整? 2. 简述图-1中21D D 和的稳幅过程。 六.仪器与器件 仪器: 同实验2 单管 器件: 集成运算放大器μA741 二极管 1N4001 电阻 瓷片电容 若干

文氏桥振荡电路(multisim仿真)

高频电子线路课程设计 题目: 院(系、部): 学生姓名: 指导教师: 年月日 河北科技师范学院教务处制

摘要 无论是从数学意义上还是从实际的意义上,正弦波都是最基本的波形之一——在数学上,任何其他波形都可以表示为基本正弦波的傅里叶组合;从实际意义上来讲,它作为测试信号、参考信号以及载波信号而被广泛的应用。在运算放大电路中,最适于发生正弦波的是文氏电桥振荡器和正交振荡器。 本文中介绍了一种基于运算放大器的文氏电桥正弦波发生器。文氏桥振荡电路由两部分组成:即放大电路和选频网络。由集成运放组成的电压串联负反馈放大电路,取其输入电阻高、输出电阻低的特点。经测试,该发生器能产生频率为100-1000Hz的正弦波,且能在较小的误差范围内将振幅限制在2.5V以内。 关键词:正弦波;振荡器;文氏电桥

目录 摘要.................................................... 错误!未定义书签。1设计任务及要求. (9) 1.1.................................................................................................... 错误!未定义书签。 1.2 ***............................................................................................ 错误!未定义书签。 2 方案论证 (10) 3 单元电路设计 (11) 4 电路原理图及PCB版图 (11) 5 总结................................................... 错误!未定义书签。附录及参考文献........................................... 错误!未定义书签。

LC正弦波振荡电路的仿真分析—课程设计

摘要 本文主要叙述的是LC正弦波振荡电路的仿真分析的设计。自激振荡器我们所学中有电容三点式振荡器,电感三点式振荡器。通过对比我们选择电容三点式振荡器。线路简单、易起振、电容三点式振荡器的频率调节范围一般比电感三点式频率调节范围小、输出波形好。电容三点式振荡器都放大器和选频网络组成,都要满足起振,平衡和稳定条件。设计之后用mulsitim进行仿真,进行分析。 关键词:LC正弦波振荡电路;电容三点式振荡电路;正弦波信号

目录 1、绪论 (1) 2、方案的确定 (1) 2.1振荡电路的设计 (1) 3、工作原理、硬件电路的设计或参数的计算 (3) 3.1电容三点式振荡器 (3) 3.1.1 振荡平衡条件一般表达式 (3) 3.1.2 参数设计 (4) 3.2 LC正弦波振荡电路的工作原理 (4) 3.3 LC振荡器的振荡条件 (5) 3.3.1相位的平衡条件 (5) 3.3.2振幅平衡条件 (5) 4、总体电路设计和仿真分析 (5) 4.1总体电路设计 (5) 4.2仿真分析 (6) 4.3调试过程 (8) 5、心得体会 (9) 参考文献 (10) 附录 (11) 元器件清单 (11)

1、绪论 LC正弦波振荡电路使用非常广泛。在日常生活中我们也离不开LC正弦波振荡电路电路的应用。例如无线电的收发设备,各种开关电源。它广泛应用于通信、电视、仪器仪表和测量等系统中。在通信方面,正弦波震荡器可以用来产生运载信息的载波和作为接收信号的变频或调解时所需要的本机振荡信号。 本课程设计中要求设计的正弦波振荡器能够输出稳定正弦波信号,本设计中所涉及的仿真电路是比较简单的。但通过仿真得到的结论在实际的类似电路中有很普遍的意义。 2、方案的确定 如图2.1所示为方案框图。 图2.1振荡器方案框图 2.1振荡电路的设计 方案一:电容三点式振荡电路。如图2.2所示。 图2.2 电容三点式振荡电路

课设,RC正弦波发生器的设计与仿真

RC正弦波发生器的设计与仿真 1.课程设计目的 1、理解RC正弦波振荡器的工作原理;掌握调试RC振荡器频率特性的方法。 2、学习与掌握Multisim等仿真软件的元件搜寻、电路搭建、仿真分析等基本操作。 3、基于Multisim或其他仿真软件实现RC正弦波振荡器具体设计与模拟仿真,掌握元件、电路的仿真和波形的测试技能。 2.设计方案论证 本实验使用的一个软件是Multisim,它是一款电子电路仿真的虚拟电子工作台软件,采用直观的图形界面创建电路,在计算机屏幕上模仿真实实验室的工作台,绘制电路图需要的元器件,电路仿真需要的测试仪器均可以直接从屏幕上选取;软件仪器控制面板外形和操作方式都与实物相似,可以实时显示测量结果;Multisim软件带有丰富的电路元件库,提供多种电路分析方法;作为设计工具,它可以同其它流行的电路分析,设计和制版软件交换数据;Multisim还是一个优秀的电子技术训练工具,利用它提供的虚拟仪器可以用比实验室中更灵活的方式进行电路实验,仿真电路的实际运行情况,熟悉常用电子仪器测量方法。 Multisim工作环境如图1所示

图1 Multisim工作环境 Protel 包含电路原理图设计,电路原理图仿真测试,印制电路板设计,自动布线器和FPGA/CPLD设计,覆盖了以PCB为核心的整个物理设计。它提供了进行层次原理图设计的环境,支持“自上而下”和“自下而上”的层次电路设计,能够完成更加大型,更为复杂的电路设计。Protel 提供了丰富的原件原理图库和PCB封装库,并且库的管理和编辑功能更加完善,草组更加简便。电路设计人员通过Protel提供的编辑工具,可以方便的实现库中没有包含的原件原理图以及PCB封装的设计制作。它提供了原件集成库的概念。在它的元件集成库中集成了元件的原理图符号,本次设计重要通过 Protel 绘图软件完成正弦波发生器原理图的绘制及PCB图的绘制,并利用Multisim软件进行编译、仿真出正弦波波形,并对其进行比较。本次设计主要用软件Protel ,Protel 是第一个将所有设计工具集于一身的板级设计系统,能够处理各种复杂的PCB设计过程。通过设计输入仿真、PCB绘制编辑、拓扑自动布线、信号完整性分析和设计输出等技术融合,提供了全面的设计解决方案。

设计并实现频率可控的正弦波信号发生器 单片机课设

1Proteus软件简介 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是:①实现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。②支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、A VR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。③提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。④具有强大的原理图绘制功能。总之,该软件是一款集单片机和SPICE分析于一身的仿真软件,功能极其强大。 特点:支持ARM7,PIC ,A VR,HC11以及8051系列的微处理器CPU模型,更多模型正在开发中; 交互外设模型有LCD显示、RS232终端、通用键盘、开关、按钮、LED等; 强大的调试功能,如访问寄存器与内存,设置断点和单步运行模式; 支持如IAR、Keil和Hitech等开发工具的源码C和汇编的调试; 一键“make”特性:一个键完成编译与仿真操作; 内置超过6000标准SPICE模型,完全兼容制造商提供的SPICE模型; DLL界面为应用提供特定的模式; 14种虚拟仪器:示波器、逻辑分析仪、信号发生器、规程分析仪等; 高级仿真包含强大的基于图形的分析功能:模拟、数字和混合瞬时图形;频率;转换;噪声;失真;付立叶;交流、直流和音频曲线; 模拟信号发生器包括直流、正旋、脉冲、分段线性、音频、指数、单频FM;数字信号发生器包括尖脉冲、脉冲、时钟和码流; 集成PROTEUS PCB设计形成完整的电子设计系统。 Protues软件与Keil uVision的结合 对于初次使用Protues软件的人可能还不知道如何设置,现在把设置步骤简介如下,仅供参考(本文章只讨论在单机上结合,在两个联网机器使用由于篇幅限制不在此讨论):设置

RC正弦波振荡器设计

四、RC正弦波振荡器设计(一)设计目的 1、进一步理解用集成运放构成的正弦波发生器的工作原理。 2、学习振荡器的调整和主要性能指标的测试方法。 (二)基础知识与能力层次要求 1、课程涉及课程 模拟电路 2、能力层次要求(四项中之一) (1)电子电路基础应用能力(基础)(第一级):√ (2)电类专业综合实践能力(综合)(第二级): (3)电类专业工程设计能力(设计)(第三级): (4)研究与创新设计能力(创新)(第四级): 3、指导教师 周妮、向腊 (三)设计技术指标与要求 1、设计要求 可以产生正弦波,频率范围为10Hz~100kHz,输出电压可调,带载能力强,波形尽量不失真。设计完成后可以利用示波器测量出其输出频率的上限和下限,还可以进一步测出其输出电压 的范围。 2、项目仪器、设备 信号发生器,双踪示波器,直流稳压电源,万用表,交流毫伏表,焊接工具,设计电 路所需的元器件,电路仿真软件等 (四)项目原理 1、基本原理 RC桥式正弦波振荡器(文氏电桥振荡器) 图4.1为RC桥式正弦波振荡器。其中RC串、并联电路构成正反馈支路,同时兼作选频网络, R、R、R及二极管等元件构成负反馈和稳幅环节。调节电位器R,可以改变负WW21反馈深度,以满足振荡的振幅条件和改善波形。利用两个反向并联二极管D、D正向电阻21的非线性特性来 实现稳幅。D、D采用硅管(温度稳定性好),且要求特性匹配,才能保证21输出波形正、负半 周对称。R的接入是为了削弱二极管非线性的影响,以改善波形失真。31f?电路的振荡频率O RC2πR f??1A≥3 起振的幅值条件f R1式中R=R+R+(R/ r),r 二极管正向导通电阻。—DD3 2Wf 调整反馈电阻R(调R),使电路起振,且波形失真最小。如不能起振,则说明负反Wf馈太强, 应适当加大R。如波形失真严重,则应适当减小R。ff改变选频网络的参数C或R,即可调节 振荡频率。一般采用改变电容C作频率量程切换,而调节R作量程内的频率细调。

正弦波振荡电路的设计及分析

2014届《通信原理》 课程设计 《正弦波振荡电路的设计与分析》 课程设计说明书 学生姓名张永良 学号5021211107 所属学院信息工程学院 专业通信工程 班级通信工程15-1 指导教师姚娜 教师职称讲师 塔里木大学教务处制

目录 前言 (1) 工程概况 (1) 正文 (1) 3.1设计的目的和意义 (1) 3.1.1设计目的 (1) 3.2克拉泼电容三点式振荡电路的基本原理 (2) 3.2.1 振荡器组成原则 (2) 3.2.2 电路原理及分析 (3) 3.2.3改进型电容三点式(克拉泼振荡器) (4) 3.2.4 克拉泼振荡器的电路分析 (5) 3.2.5克拉泼振荡器的起振条件 (5) 3.2.6克拉泼振荡器的振荡频率 (6) 3.2.7克拉泼振荡器的电容参数影响 (7) 3.3设计方法和内容 (7) 3.3.1电容三点式和改进型电容三点式仿真比较 (7) 3.3.2克拉泼振荡器电容参数改变对波形的影响 (9) 3.4结论 (10) 致谢 (10) 参考文献: (11)

前言 振荡器用于产生一定频率和幅度的信号,它不需要外加输入信号的控制,就能自动的将直流电能转化为所需要的交流能量输出。振荡器的种类很多,根据产生振荡波形的不同,可分为正弦波振荡器和非正弦波震荡器。正弦波振荡器从组成原理来看,可分为反馈振荡器和负阻振荡器。正弦波振荡器的作用是产生频率稳定、幅度不变的正弦波输出。以LC谐振回路作为选频网络的反馈振荡器称为LC正弦振荡器。三点式振荡器属于LC振荡器的一种,由于电容三点式频率调节不便引起电路工作性能的不稳定使该电路只适宜产生固定频率的振荡,所以选择了改进型电容三点式(克拉泼电路),即在电容三点式电路的基础上,在谐振回路的电感支路上串联一个可调电容。此次设计的电路是建立在反馈电路基础之上的,在熟悉了改进型电容三点式的原理下,对电路进行仿真,由输出波形比较它们的不同,最后得出可调电容的值越大,振荡频率稳定度越高。振荡器在现代科学技术领域有着广泛的应用,例如,在无线电通信、广播、电视设备中来产生所需要的载波和本机振荡信号;在电子测量仪器中用来产生各种频段的正弦信号。 工程概况 此次课程设计是在multisim软件下对改进型电容三点式克拉泼电路的输出波形进行仿真。由于振荡器的种类很多,适用的范围也不相同,但它们的基本原理都是相同的,都由放大器和选频网络组成,都要满足起振,平衡和稳定条件。本次课程设计要求振荡器的输出频率为10Mhz,属于高频范围。所以选择LC振荡器作为参考对象,再考虑输出频率和振幅的稳定性,最终选择了克拉泼振荡器。此次可设用了两周的时间,对改进型电容三点式克拉泼电路进行仿真,发现了克拉泼电路的优缺点。 正文 3.1设计的目的和意义 3.1.1设计目的 熟悉multisim软件,运用软件里的一些元器件连接电路图,完成课程设计:改变型电容三点式(克拉泼电路)设计仿真。 1.对改进型电容三点式(克拉泼电路)进行输出波形仿真,并分析。

相关文档
最新文档