89S52单片机和DAC0832制作的低频函数信号发生器的设计

89S52单片机和DAC0832制作的低频函数信号发生器的设计
89S52单片机和DAC0832制作的低频函数信号发生器的设计

51单片机设计多功能低频函数信号发生器

应用89S52单片机和DAC0832进行低频函数信号发生器的设计。本设计能产生正弦波、锯齿波、三角波和方波。这里着重介绍正弦波和锯齿波的生成原理。

ADC0832的介绍:DAC0832是8分辨率的D/A转换集成芯片。与微处理器完全兼容。这个DA芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。D/A转换器由8位输入锁存器、8位DAC寄存器、8位D/A转换电路及转换控制电路构成。

D0~D7:八位数据输入端

ILE:数据允许锁存信号

/CS:输入寄存器选择信号

/WR1:输入寄存器选择信号

/XFER:数据传送信号

/WR2:DAC寄存器的写通选择信号

Vref:基准电源输入端

Rfb:反馈信号输入端

Iout1: 电流输出1

Iout2: 电流输出2

Vcc: 电源输入端

AGND: 模拟地

DGND: 数字地

DAC0832结构:

D0~D7:8位数据输入线,TTL电平,有效时间应大于90ns(否则锁存器的数据会出错);

ILE:数据锁存允许控制信号输入线,高电平有效;

CS:片选信号输入线(选通数据锁存器),低电平有效;

WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。由ILE、CS、WR1的逻辑组合产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1的负跳变时将输入数据锁存;

XFER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns)有效;

WR2:DAC寄存器选通输入线,负脉冲(脉宽应大于500ns)有效。由WR1、XFER

的逻辑组合产生LE2,当LE2为高电平时,DAC寄存器的输出随寄存器的输入而变化,LE2的负跳变时将数据锁存器的内容打入DAC寄存器并开始D/A转换。

IOUT1:电流输出端1,其值随DAC寄存器的内容线性变化;

IOUT2:电流输出端2,其值与IOUT1值之和为一常数;

Rfb:反馈信号输入线,改变Rfb端外接电阻值可调整转换满量程精度;

Vcc:电源输入端,Vcc的范围为+5V~+15V;

VREF:基准电压输入线,VREF的范围为-10V~+10V;

AGND:模拟信号地

DGND:数字信号地

DAC0832的工作方式:

根据对DAC0832的数据锁存器和DAC寄存器的不同的控制方式,DAC0832有三种工作方式:直通方式、单缓冲方式和双缓冲方式。本设计选用直通方式。

DAC0832工作时序:

DAC0832内部结构图:

当ILE为1时,只有当/CS、/WR1都为0时输入寄存器才允许输入;当/WR2、/XFER也都为0时,输入寄存器里的信息才能写入DAC寄存器。根据实际电路图我们就可以得到DAC0832工作的时序的程序。如下:

P37=0;

//P37=CS

_n op_();

//P36=WR

P36=0;

P0=val ue; (数据端口信号数值0~255)

P36=1;

_n op_();

P37=1;

硬件电路:

P0口是数据端口,接上拉电阻(其他端口则不用)。电源质量要好,质量越好的电源,芯片工作就越稳定。

从LM358运放输出的电压最大峰峰值就是12V所以在二级运放的放大倍数要注意跟基准电压想匹配,否则输出信号会很容易失真。

正弦波的生成:

DAC0832产生信号的原理可以说是ADC0809AD转换的逆过程,但DAC0832生成的信号是离散的。假设要生成一个Y=Asin(2*pi*f*t)的正弦波。adc0832数据端口给的数据的范围是0~255一共256个。前0~127表示是X轴上方的电压值(也可能是下方)。那么128~255是X轴下方的电压值。那么我们可以得到数据端口的数值的具体量,即value=127sin(2*pi*f*t)+127;假设我在X轴上抽样100个点(0~99),那么value=127sin(pi/50*t)+127; t:

0~99.(这个100位的数组可以用MA TALB生成)。也可以抽样更多的点,抽样的点越多,得到的信号越保真,但信号的频率会有所下降。

抽样的点越少,失真越大,但频率能成大幅度递增。怎么选择,具体情况具体分析。其他的波形也跟正弦波一样。

程序如下:

#include

sbit dac_WR=P3^6;//dac0832的wr端

sbit dac_cs=P3^7;

sbit KEY1=P2^0;

sbit KEY2=P2^1;

bit keyflag;

unsigned char i;

unsigned char code tab[100]={127,135,143,151,159,166,174,181,188,195,202,

208,214,220,225,230,234,238,242,245,248,250,

251,252,253,254,253,252,251,250,248,245,242,

238,234,230,225,220,214,208,202,195,188,181,

174,166,159,151,143,135,127,119,111,103,95,

88,80,73,66,59,52,46,40,34,29,24,

20,16,12,9,6,4,3,2,1,0,1,

2,3,4,6,9,12,16,20,24,29,34,

40,46,52,59,66,73,80,88,95,103,111,119};

void getkey(void)

{

if(KEY1==0)

{ //按键按下后为电电平

RCAP2L+=10; //调节频率

if(CY==1)

{

RCAP2H+=1;

}

}

if(KEY2==0)

{

RCAP2L-=10;

if(CY==1)

{

RCAP2H-=1;

}

}

}

void Timer2_Init()

{

T2CON=0x00;

TH2=(65536-300)/256;

TL2=(65536-300)%256;

RCAP2H=0XFE;

RCAP2L=0XDA; //稳定在50Hz左右EA=1;

ET2=1;

TR2=1;

}

void T0_service() interrupt 1

{

TH0=0XEC;

TL0=0X77;

keyflag=1;

}

void Timer2_service() interrupt 5 {

TF2=0;//清除中断标志位

dac_cs=0;

dac_WR=0;

P1=tab[i];

dac_WR=1;

i++;

dac_cs=1;

if(i==100) i=0;

}

void main()

{

Timer2_Init();

TMOD=0x01;

TH0=0XEC;

TL0=0X77;

EA=1;

ET0=1;

TR0=1;

while(1)

{

if(keyflag)

{

keyflag=0;

getkey();

}

}

}

本程序需注意:按键是低电平有效。定时器2中断发送数据给DAC0832,0832在得到一个数据后生成相应的电压值。所以他的中断时间决定信号的频率,调节它的中断时间就能调节信号的频率。

其他波形的生成,其他的波形也跟正弦波一样,但锯齿波和三角波可以不用查表法,应用加减计算得到就可以得到。

下面介绍的是锯齿波:

#include

#include

sbit DACWR=P3^6;

sbit DACCS=P3^7;

unsigned int i;

void DAC_0832(void)

{

DACCS=0;

DACWR=0;

P0=i;

i+=1; //加以操作得到上升的锯齿波

DACWR=1;

_nop_();

DACCS=0;

if(i==0xff) i=0x7f; //为什么初值是0x7f,其他的行不行。大家自己动手试试。

}

void main(void)

{

i=0x7f;

while(1)

{

DAC_0832();

}

}

DAC0832有着致命的一个缺点就是输出的波形里的含有的频率比较杂乱,常常出现过激的现象。如果你需要精确的信号的话,那么你必须在信号输出端就如滤波器。得到干净的低频函数信号。如果要作为信号源的话最好是能就上一级攻放。效果会好很多。虽然DAC0832不是非常专业的函数信号发生芯片,但是它的输出波形的范围比较广,常常能输出一些,你意想不到得很有意思的信号曲线。

下面发几张示波器观察到得曲线:实验室里手机照的,不是太清晰但还能看。

编者注:最近比较忙很少去查看邮箱,前几天看一下邮箱结果里面有100份邮件是要函数信号发生器的。为了方便大家于是我今天又重新整理了一下,并有实际搭了一遍电路验证特一下。效果还行。但在protues 上仿真不了,得到的是一个不能预料的曲线。没查明原因,如果大家有兴趣,可以研究研究。共同探讨一番。anmko@https://www.360docs.net/doc/0513365790.html,

#include

#include

#include

#define DA0832 XBYTE[0Xa000]

#define uchar unsigned char

#define S1 XBYTE[0X0000]

#define S2 XBYTE[0X2000]

#define S3 XBYTE[0X4000]

uchar code tab[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; uchar code tosin[256]={0x80,0x83,0x86,0x89,0x8d,0x90,0x93,0x96,0x99,0x9c,0x9f,0xa

2,0xa5,0xa8,0xab,0xae,0xb1,0xb4,0xb7,0xba,0xbc,0xbf,0xc2,0xc5

,0xc7,0xca,0xcc,0xcf,0xd1,0xd4,0xd6,0xd8,0xda,0xdd,0xdf,0xe1,0xe3,0xe5 ,0xe7,0xe9,0xea,0xec,0xee,0xef,0xf1,0xf2,0xf4,0xf5

,0xf6,0xf7,0xf8,0xf9,0xfa,0xfb,0xfc,0xfd,0xfd,0xfe,0xff,0xff,0xff,0xff ,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0xfd

,0xfd,0xfc,0xfb,0xfa,0xf9,0xf8,0xf7,0xf6,0xf5,0xf4,0xf2,0xf1,0xef,0xee ,0xec,0xea,0xe9,0xe7,0xe5,0xe3,0xe1,0xde,0xdd,0xda

,0xd8,0xd6,0xd4,0xd1,0xcf,0xcc,0xca,0xc7,0xc5,0xc2,0xbf,0xbc,0xba,0xb7 ,0xb4,0xb1,0xae,0xab,0xa8,0xa5,0xa2,0x9f,0x9c,0x99

,0x96,0x93,0x90,0x8d,0x89,0x86,0x83,0x80,0x80,0x7c,0x79,0x76,0x72,0x6f ,0x6c,0x69,0x66,0x63,0x60,0x5d,0x5a,0x57,0x55,0x51

,0x4e,0x4c,0x48,0x45,0x43,0x40,0x3d,0x3a,0x38,0x35,0x33,0x30,0x2e,0x2b ,0x29,0x27,0x25,0x22,0x20,0x1e,0x1c,0x1a,0x18,0x16

,0x15,0x13,0x11,0x10,0x0e,0x0d,0x0b,0x0a,0x09,0x08,0x07,0x06,0x05,0x04 ,0x03,0x02,0x02,0x01,0x00,0x00,0x00,0x00,0x00,0x00

,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x02 ,0x02,0x03,0x04,0x05,0x06,0x0 7,0x08,0x09,0x0a,0x0b,0x0d,0x0e,0x10,0x11,0x13,0x15

,0x16,0x18,0x1a,0x1c,0x1e,0x20,0x22,0x25,0x27,0x29,0x2b,0x2e,0x30,0x33 ,0x35,0x38,0x3a,0x3d,0x40,0x43,0x45,0x48,0x4c,0x4e

,0x51,0x55,0x57,0x5a,0x5d,0x60,0x63,0x66 ,0x69,0x6c,0x6f,0x72,0x76,0x7 9,0x7c,0x80 };

uchar fun=0,b=0,c=0,d=0,tl,th;

void key1(void);

void key2(void);

void key3(void);

void key4(void);

void judge(void);

void main(void)

{

TMOD=0X01;

TR0=1;

th=0xff;

tl=0xd0;

TH0=th;

TL0=tl;

ET0=1;

EA=1;

while(1)

{

judge();

}

}

void judge(void)

{

uchar line,row,de1,de2,keym;

P1=0x0f;

keym=P1;

if(keym==0x0f)return;

for(de1=0;de1<200;de1++)

for(de2=0;de2<125;de2++){;}

P1=0x0f;

keym=P1;

if(keym==0x0f)return;

P1=0x0f;

line=P1;

P1=0xf0;

row=P1;

line=line+row;/*存放特征键值*/

if(line==0xde)key1();

if(line==0x7e)key2();

if(line==0xbd)key3();

if(line==0x7d)key4();

}

void key1(void) //1键选择发波类型,1为正弦波,2为三角波,3为方波

{

fun++;

if(fun==4)fun=0x00;

}

void key2(void) //2键加大频率

{

tl++;

if(tl==0x1f)th++;

}

void key3(void) //3键减小频率

{

tl--;

if(tl==0x00)th--;

}

void key4(void) //4键显示频率

double t;

int f;

TR0=0;//ET0的区别

t=(65535-th*256-tl)*0.4;

f=(int)(1000/t);

S3=tab[f%10];

f=f/10;

S2=tab[f%10];

f=f/10;

if(f==0)S1=0;

else S1=tab[f];

TR0=1;

}

void time0_int(void) interrupt 1 //中断服务程序{

TR0=0;

if(fun==1)

{

DA0832=tosin[b]; //正弦波

b++;

}

else if(fun==2) //三角波

{

if(c<128)DA0832=c;

else DA0832=255-c;

c++;

}

else if(fun==3) //方波

{

d++;

if(d<=128)DA0832=0x00;

else DA0832=0xff;

}

TH0=th;

TL0=tl;

TR0=1;

低频函数信号发生器的设计

低频信号发生器的方案 概述:采用A T89C51单片机和DAC0832芯片,直接连接键盘和显示。该种方案主要对A T89C51单片机的各个I/O口充分利用. P1口是连接键盘以及接显示电路,P2口连接DAC0832输出波形.这样总体来说,能对单片机各个接口都利用上,而不在多用其它芯片,从而减小了系统的成本.也对按照系统便携式低频信号发生器的要求所完成.占用空间小,使用芯片少,低功耗。 模块结构划分 本次设计所研究的就是对所需要的某种波形输出对应的数字信号,在通过D/A转换器和单片机部分的转换输出一组连续变化的0~5V的电压脉冲值。在设计时分块来做,按波形设定、D/A转换、51单片机连接、键盘控制四个模块的设计。最后通过联调仿真,完成相应功能。 具体设计模块如图 模块介绍: 1.波形设定:对任意波形的手动设定 2.D/A转换:主要选用DAC0832来把数字信号转换为模拟信号,

在送入单片机进行处理。 3.单片机部分:最小系统 4.键盘:用按键来控制输出波形的种类和数值的输入 硬件电路的设计 基本原理 低频信号发生器系统主要由CPU 、D/A 转换电路、电流 / 电压转换电路、按键和显示电路、电源等电路组成。其工作原理为当按下第一个按键就会分别出现方波、三角波、正弦波。 D/A 转换电路的设计 DAC0832是CMOS 工艺制造的8位D/A 转换器,属于8位电流输出型D/A 转换器,转换时间为1us ,片内带输入数字锁存器。DAC0832

与单片机接成数据直接写入方式,当单片机把一个数据写入DAC寄存器时,DAC0832的输出模拟电压信号随之对应变化。利用D/A转换器可以产生各种波形,如方波、三角波、正弦波、锯齿波等以及它们组合产生的复合波形和不规则波形。 1.DAC0832主要性能: ◆输入的数字量为8位; ◆采用CMOS工艺,所有引脚的逻辑电平与TTL兼容; ◆数据输入可以采用双缓冲、单缓冲和直通方式; ◆转换时间:1us; ◆精度:1LSB; ◆分辨率:8位; ◆单一电源:5—15V,功耗20mw; ◆参考电压:-10—+10V; DAC0832内部结构资料:芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,还可以外接。 该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接,下面是芯片电路原理图3-20

基于51单片机的函数信号发生器的设计

龙源期刊网 https://www.360docs.net/doc/0513365790.html, 基于51单片机的函数信号发生器的设计 作者:朱兆旭 来源:《数字技术与应用》2017年第02期 摘要:本文所设计的系统是采用AT89C51单片机和D/A转换器件DAC0832产生所需不 同信号的低频信号源,AT89C51 单片机作为主体,采用D/A转换电路、运放电路、按键和LCD液晶显示电路等,按下按键控制生成方波、三角波、正弦波,同时用LCD显示相应的波形,输出波形的周期可以用程序改变,具有线路简单、结构紧凑、性能优越等特点。 关键词:51单片机;模数转换器;信号发生器 中图分类号:TP391 文献标识码:A 文章编号:1007-9416(2017)02-0011-01 1 前言 波形发生器,是一种作为测试用的信号源,是当下很多电子设计要用到的仪器。现如今是科学技术和设备高速智能化发展的科技信息社会,集成电路发展迅猛,集成电路能简单地生成各式各样的波形发生器,将其他信号波形发生器于用集成电路实现的信号波形发生器进行对比,波形质量、幅度和频率稳定性等性能指标,集成电路实现的信号波形发生器都胜过一筹,随着单片机应用技术的不断成长和完善,导致传统控制与检测技术更加快捷方便。 2 系统设计思路 文章基于单片机信号发生器设计,产生正弦波、方波、三角波,连接示波器,将生成的波形显示在示波器上。按照对作品的设计研究,编写程序,来实现各种波形的频率和幅值数值与要求相匹配,然后把该程序导入到程序存储器里面。 当程序运行时,一旦收到外界发出的指令,要求设备输出相应的波形时,设备会调用对应波形发生程序以及中断服务子程序,D/A转换器和运放器随之处理信号,然后设备的端口输出该信号。其中,KEY0为复位键,KEY1的作用是选择频率的步进值,KEY2的作用是增加频 率或增加频率的步进值,KEY3的作用是减小频率或减小频率的步进值,KEY4的作用是选择三种波形。103为可调电阻,用于幅值的调节。自锁开关起到电源开关的作用。启动电源,程序运行的时候,选择正弦波,红色LED灯亮起;选择方波,黄色LED灯亮起;选择三角波,绿色LED灯亮起。函数信号发生器频率最高可达到100Hz,最低可达到10Hz,步进值0.1- 10Hz,幅值最高可到3.5V。系统框图如图1所示。 3 软件设计

低频信号发生器设计开题报告

1 研究的目的及其意义 随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率、精度、多功能、自动化和智能化方向发展。在科学研究、工程教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。 便携式和智能化越来越成为仪器的基本要求,对传统仪器的数字化,智能化,集成化也就明显得尤为重要。平时常用信号源产生正弦波,方波,三角波等常见波形作为待测系统的输入,测试系统的性能。单在某些场合,我们需要特殊波形对系统进行测试,这是传统的模拟信号发生器和数字信号发生器很难胜任的。利用单片机,设计合适的人机交互界面,使用户能够通过手动的设定,设置所需波形。该设计课题的研究和制作全面说明对低频信号发生系统要有一个全面的了解、对低频信号的发生原理要理解掌握,以及低频信号发生器工作流程:波形的设定,D/A 转换,显示和各模块的连接通信等各个部分要熟练联接调试,能够正确的了解常规芯片的使用方法、掌握简单信号发生器应用系统软硬件的设计方法,进一步锻炼了我们在信号处理方面的实际工作能力。 2 国内外研究现状 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信

低频正弦信号发生器

低频正弦信号发生器 摘要 正弦信号发生器是信号中最常见的一种,它能输出一个幅度可调、频率可调的正弦信号在这些信号发生器中,又以低频正弦信号发生器最为常用,在科学研究及生产实践中均有着广泛应用。 目前,常用的信号发生器绝大部分是由模拟电路构成的,电路的组成主要包括选频网络,反馈网络,以及放大部分。所以,从结构上看,正弦信号发生器就是一个没有输入信号的带选频网络的正反馈放大电路。分析RC串并联选频网络的特性,根据正弦波振荡电路的两个条件,即振幅平衡与相位平衡,来选择合适的放大电路指标,来构成一个完整的振荡电路。很多应用中都要用到范围可调的LC 振荡器,它能够在电路输出负载变化时提供近似恒定的频率、几乎无谐波的输出。电路必须提供足够的增益才能使低阻抗的LC 电路起振,并调整振荡的幅度,以提高频率稳定性,减小THD(总谐波失真)。 但是,在一般的情况下,RC选频电路用于输出中频信号,LC选频电路用于输出高频信号,当需要这种模拟信号发生器用于输出低频率信号往往需要的RC值很大(LC 输出高频,更难以满足要求),这样不但参数准确度难以保证,而且体积大和功耗都很大,低频性能难以满足要求。而由数字电路构成的低频信号发生器,多是由一些芯片组成,其低频性能比模拟信号发生器好得多,并且体积较小,输出的信号谐波较少,频率和振幅相对比较稳定。本文借助555定时器和74LS161产生方波经MF10滤波电路产生正弦信号,这种电路运算速度较高,系统集成度强,且实现更加简便。电压的数字显示主要由555定时器构成的放大整形电路,时基电路和控制电路构成,最终由十六进制加法器74LS160,锁存器74LS373,译码器74LS48使数码管显示电压。

低频函数信号发生器设计实验报告 精品

实验报告 课程名称:电子系统综合设计指导老师:周箭成绩:实验名称:低频函数信号发生器(预习报告)实验类型:同组学生姓名: 一、课题名称 低频函数信号发生器设计 二、性能指标 (1)同时输出三种波形:方波,三角波,正弦波; (2)频率范围:10Hz~10KHz; (3)频率稳定性:; (4)频率控制方式: ①改变RC时间常数; ②改变控制电压V 1实现压控频率,常用于自控方式,即F=f(V 1 ),(V 1 =1~10V); ③分为10Hz~100Hz,100Hz~1KHz,1KHz~10KHz三段控制。 (5)波形精度:方波上升下降沿均小于2μs,三角波线性度δ/V om <1%,正弦波失真度

; (6)输出方式: a)做电压源输出时 输出电压幅度连续可调,最大输出电压不小于20V 负载R L =100Ω~1KΩ时,输出电压相对变化率ΔV O /V O <1% b)做电流源输出时 输出电流幅度连续可调,最大输出电流不小于200mA 负载R L =0Ω~90Ω时,输出电流相对变化率ΔI O /I O <1% c)做功率源输出时 最大输出功率大于1W(R L =50Ω,V O >7V有效值) 具有输出过载保护功能 三、方案设计 根据实验任务的要求,对信号产生部分,一般可采用多种实现方案:如模拟电路实现方案、数字电路实现方案、模数结合的实现方案等。 数字电路的实现方案 一般可事先在存储器里存储好函数信号波形,再用D/A转换器进行逐点恢复。这种方案的波形精度主要取决于函数信号波形的存储点数、D/A转换器的转换速度、以及整个电路的时序处理等。其信号频率的高低,是通过改变D/A转换器输入数字量的速率来实现的。 数字电路的实现方案在信号频率较低时,具有较好的波形质量。随着信号频率的提高,需要提高数字量输入的速率,或减少波形点数。波形点数的减少,将直接影响函数信号波形的质量,而数字量输入速率的提高也是有限的。因此,该方案比较适合低频信号,而较难产生高频(如>1MHz)

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原理

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原 理 什么是函数信号发生器?函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 函数信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 函数信号发生器的工作原理:函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。它能够产生多种波形,如三角波、锯齿波、矩形波、正弦波,所以在生产实践和科技领域中有着广泛的应用。 函数信号发生器系统主要由主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器和指示电压表构成。当输入端输入小信号正弦波时,该信号分两路传输,一路完成整流倍压功能,提供工作电源;另一路进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出,输出端为可调电阻。 函数信号发生器产生的各种波形曲线均可以用三角函数方程式来表示,函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频发射,这里的射频波就是载波,把音频、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

基于单片机的低频信号发生器设计毕业设计论文

淮阴工学院 毕业设计说明书(论文) 作者: 学号: 学院: 电子与电气工程学院 专业: 电子信息工程 题目: 基于单片机的低频 信号发生器 张月红讲师 指导者: (姓名) (专业技术职务) 评阅者: (姓名) (专业技术职务) 年月

毕业设计说明书(论文)中文摘要

毕业设计说明书(论文)外文摘要

淮阴工学院毕业设计说明书(论文)第Ⅰ页共Ⅰ页4 目录 1 绪论................................................. 错误!未定义书签。 1.1 信号发生器综述..................................... 错误!未定义书签。 1.2信号发生器的发展历史............................... 错误!未定义书签。 2 硬件设计............................................. 错误!未定义书签。 2.1总体设计框图....................................... 错误!未定义书签。 2.2单片机最小系统..................................... 错误!未定义书签。 2.3 数模转换模块....................................... 错误!未定义书签。 2.4运算放大模块....................................... 错误!未定义书签。 2.5 键盘电路设计模块................................... 错误!未定义书签。 2.6显示电路设计模块................................... 错误!未定义书签。 3 软件设计............................................. 错误!未定义书签。 3.1 主程序流程图....................................... 错误!未定义书签。 3.2 子程序流程图....................................... 错误!未定义书签。 4 系统调试............................................. 错误!未定义书签。 4.1软件调试........................................... 错误!未定义书签。 4.2生成hex文件....................................... 错误!未定义书签。 4.3 Protues硬件电路仿真调试........................... 错误!未定义书签。 结论................................................... 错误!未定义书签。致谢................................................... 错误!未定义书签。参考文献............................................... 错误!未定义书签。附录................................................... 错误!未定义书签。附录A 电路原理图.仿真图............................... 错误!未定义书签。附录B 程序清单........................................ 错误!未定义书签。

函数信号发生器

函数信号发生器 函数信号发生器 作者:华伟锋卞蕊樊旭超 2013-8-8

函数信号发生器 摘要 直接数字频率合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通信等领域有着广泛的应用前景。本文介绍了DDS(直接数字频率合成)的基本原理和工作特点,提出以DDS芯片AD9850芯片为核心利用MSP430F5438单片机控制,辅以必要的外围电路,构成一个输出波形稳定、精度较高的信号发生器。该信号发生器主要能产生标准的正弦波、方波与三角波(锯齿波),波形可手动切换,频率步进可调,软件系统采用菜单形式进行操作,LCD液晶显示可实时显示输出信号的类型、幅度、频率和频率步进值,操作方便明了,还增加了很多功能。 关键词:AD9850;信号发生器;MSP430F149单片机;DDS;LCD液晶; Abstact:Direct Digital Synthesis (DDS) is an important frequency synthesizer technology, with high resolution, fast frequency conversion, etc., in radar and communications and other fields have a wide range of applications. This article describes the DDS (direct digital frequency synthesis) of the basic principles and work, we proposed to DDS chip AD9850 chip as the core using MSP430F5438 MCU control, supplemented by the necessary peripheral circuits to form a stable output waveform, high precision signal generator . The signal generator can generate standard primary sine wave, square wave and triangular wave (sawtooth), the waveform can be manually switched, frequency step adjustable software system used to operate the menu form, LCD liquid crystal display can be real-time display of the output signal type , amplitude, frequency and frequency step value, easy to understand, but also adds a lot of functionality. Key words:AD9850; signal generator; MSP430F5438MCU; DDS; LCD liquid crystal;

低频信号发生器设计报告

低频信号发生器设计报告 一.设计要求 (一)设计题目要求 1.分析电路的功能并设计电路的单元电路 2.查找图中相应元件的参数,找出国外对应元件的型号 3.用EWB或Multisim软件进行电路仿真,打印仿真原理图和仿真结果 4.用A3图纸绘出系统电路原理图 (二)其他要求 1.必须独立完成设计课题 2.合理选用元器件 3.要求有目录、参考资料、结语 4.论文页数不少于20页 二.设计的作用、目的 (一)设计的作用 低频信号发生器是电子测量中不可缺少的设备之一。完成一个低频信号发生器的设计,可以达到对模拟电路知识较全面的运用和掌握。 (二)设计的目的 电子电路设计及制作课程设计是电子技术基础课程的实践性教学环节,通过该教学环节,要求达到以下目的: 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力和综合分析问题、解决问题的能力; 2.基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力;3.熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。

三.设计的具体实现 (一)系统概述 根据课题任务,所要设计的低频信号发生器由三大部分组成: ⑴正弦信号发生部分 ⑵信号输出部分 ⑶稳幅部分 其中由正弦信号发生部分的电路产生所需要的正弦信号,由输出电路将信号放大后进行输出,再由稳幅电路部分从输出的信号采样反馈回信号发生部分进行稳幅。 1.正弦信号发生部分可以有以下实现方案: ⑴以晶体管(晶体管(transistor)是一种固体半导体器件,可以用于检波、整流、放大、开关、稳压、信号调制和许多其它功能。开关速度可以非常快) 为核心元件,加RC(文氏桥或移相式)或变压器反LC(馈式、电感三点式、电容三点式、晶振等)选频网络以及稳幅电路等构成的分立元件正弦波振荡电路。这种电路的优点是简单、廉价,但由于采用分立元件,稳定性较差,元件较多时调节也较麻烦。

音频测试-低频信号发生器-使用方法

低频信号发生器的操作方法 第一步骤:低频信号发生器的连接 连接电源线 用220V AC 线把低频信号发生器连上市电。如电源插座旁有控制开关,还须把开关打开。(如上图2) 连接信号线 将输出线插入到低频信号发生器的信号输出(OUTPUT )接口,并顺时针扭动半圈(如下图3)。图 1 图 2 将开关打开

第二步骤:信号电压幅度调节 上述步骤完成后,接下来需要开机预热和调节输出信号的幅度。 1) 开机(POWER ) 按下电源键开机,开机后电源指示灯会亮。电源按钮一般为红色。 图 3 图 4 连接输出线 电源按钮 电源指示灯

波形选择(WAVE FORM ) 控制低频信号发生器的输出波形。此按钮未按下去时为正弦波,按下去后为矩形波。中文意思为波形。在音频测试中应选择正弦波。(如上图6) 振幅调节(AMPLITUDE ) 此旋钮用来对信号幅度进行微调。顺时针为调大(MAX ),逆顺针为调小(MIN )。如下图图 6 图 5 波形选择 按钮 衰减度选择 -20dB 档 振幅微 调旋钮 图 7 交流电压 20V 档 信号频率 为50Hz

第四步骤:信号频率调节 当调好低频信号发生器的信号电压时,我们还要调节信号发生器的信号频率。 1) 频率调节(FREQUENCY ) 频率调节旋钮上有刻度盘,刻度盘上的数值从10~100,我们调节时把刻度盘上的数值对准正上方的黑色标志,这个数值就是输出信号的基数值。Frequency 中文为频率的意思。(如上图9个琴键按钮,分别为×1、×10、×100、×1K 、×10K ,它们与频率旋钮配合使用。当按下其中的某一个时,表示频率旋钮上指示的基数值×此按钮的倍数。 图 9 图 8 频率旋钮 倍数选择

如何使用函数信号发生器

如何使用函数信号发生器 认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发. 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正弦波整型电路产生正弦波,同时经由比较器的比较产生方波,换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下: 将方波产生电路比较器的参考幅度予以固定(正、负可利用电路予以切换),改变充放电斜率,即可达成。 这种方式的设计一般使用者的反应是“难调”,这是大缺点,但它可以产生10%以下的占空比却是在采样时的必备条件。 以上的两种占空比调整电路设计思路,各有优缺点,当然连带的也影响到是否能产生“像样的”锯齿波。 接下来PA(功率放大器)的设计。首先是利用运算放大器(OP) ,再利用推拉式(push-pull)放大器(注意交越失真Cross-distortion的预防)将信号送到衰减网路,这部分牵涉到信号源输出信号的指标,包含信噪比、方波上升时间及信号源的频率响应,好的信号源当然是正弦波信噪比高、方波上升时间快、三角波线性度要好、同时伏频特性也要好,(也即频率上升,信号不能衰减或不能减太大),这部分电路较为复杂,尤其在高频时除利用电容作频率补偿外,也牵涉到PC板的布线方式,一不小心,极易引起振荡,想设计这部分电路,除原有的模拟理论基础外尚需具备实际的经验,“Try Error”的耐心是不可缺少的。 PA信号出来后,经过π型的电阻式衰减网路,分别衰减10倍(20dB)或100倍(40dB),此时一部基本的函数波形发生器即已完成。(注意:选用π型衰减网络而不是分压电路是要让输出阻抗保持一定)。 一台功能较强的函数波形发生器,还有扫频、VCG、TTL、 TRIG、 GATE及频率计等功能,其设

单片机低频信号发生器课程设计.

目录 一、题目的意义 (1) 二、本人所做的工作 (1) 三、课设要求 (2) 四、课设所需设备及芯片功能介绍 (2) 4.1、所需设备 (2) 4.2、芯片功能介绍 (2) 五、总体功能图及主要设计思路 (5) 5.1、总体功能图 (5) 5.2、主要设计思想 (5) 六、硬件电路设计及描述 (7) 6.1、硬件原理图 (7) 6.2、线路连接步骤 (7) 七、软件设计流程及描述 (7) 7.1、锯齿波的实现过程 (7) 7.2、三角波的实现过程 (8) 7.3、梯形波的实现过程 (9) 7.4、方波的实现过程 (11) 7.5、正弦波的实验过程 (12)

7.6、通过开关实现波形切换和调频、调幅 (13) 八、程序调试步骤与运行结果 (15) 8.1、调试步骤 (15) 8.2、运行结果 (15) 九、课程设计体会 (17) 十、参考文献 (18) 十一、源代码及注释 (18) 一、题目的意义 (1)、利用所学单片机的理论知识进行软硬件整体设计,锻炼学生理论联系实际、提高我们的综合应用能力。 (2)、我们这次的课程设计是以单片机为基础,设计并开发能输出多种波形(正弦波、三角波、锯齿波、方波、梯形波等)且频率、幅度可变的函数发生器。 (3)、掌握各个接口芯片(如0832等的功能特性及接口方法,并能运用其实现一个简单的微机应用系统功能器件。 (4)、在平时的学习中,我们所学的知识大都是课本上的,在机房的练习大家也都是分散的对各个章节的内容进行练习。因此,缺乏一种系统的设计锻炼。在课程所学结束以后,这样的课程设计十分有助于学生的知识系统的总结到一起。 (5)、通过这几个波形进行组合形成了一个函数发生器,使得我对系统的整个框架的设计有了一个很好的锻炼。这不仅有助于大家找到自己感兴趣的题目,更可以锻炼大家单片机知识的应用。 二、本人所做的工作

低频信号发生器的使用说明

附录一低频信号发生器的使用说明 一.概述 AS1033型低频信号发生器采用了中央处理器控制面板的操作方式,具有良好的人机界面。输出正弦波信号频率从2Hz~2MHz连续可调,输出正弦波信号幅度从0.5mV~5V连续可调,并设有TTL输出方波功能,频率从2Hz~2MHz连续可调,占空比从20%~80%连续可调。 面板显示清晰明了,操作简单方便,输出频率调节可采用频率段调节(轻触开关粗调)和数码开关调节(段内细调)二种,其中数码开关调节又分快调和慢调两种,五位数码管直接显示频率,输出幅度调节采用轻触粗调(20dB、40dB、60dB)和电位器细调(20dB)以内,三位数码管直接显示输出电压有效值或衰减电平。 中央处理器控制整机各部分,并采用了数/模、模/数转换电路,应用数码开关作为频率调节输入。振荡电路采用压控振荡与稳幅放大相结合,具有良好的稳幅特性。电路中还加入输出保护、TTL输出、方波占空比可调电路等。 二.技术特性 1.频率范围:2Hz~2MHz,共分五个频段 第一频段:2Hz~30Hz 第二频段:30Hz~450Hz 第三频段:450Hz~7kHz 第四频段:7kHz~100kHz 第五频段:100kHz~2MHz 2.正弦波输出特性 (1)输出电压幅度(有效值):0.5mV~5V (2)幅频率特性:≤±0.3dB (3)失真度:2Hz~200kHz≤0.1%,200kHz~2MHz,谐波分量≤-46dB 3.方波输出特性 ⑴最大输出电压(空截,中心电平为0):14Vp-p ⑵占空比(连续可调):20%~80% ⑶逻辑电平输出:TTL电平,上升、下降沿≤25ns 4.输出电抗:600Ω 5.频率显示准确度:1×10-4±1个字 6.正常工作条件 ⑴环境温度:0~40℃ ⑵相对湿度:<90%(40℃) ⑶大气压:86~106kpa ⑷电源电压:220±22V,50±2.5Hz 7.消耗功率:<10W 三.面板及操作说明 1.整机电源开关(POWER) 按下此键,接通电源,同时面板上指示灯亮。 2.频段选择手动按钮

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

基于51单片机的信号发生器

基于51单片机的函数发生器 以STC89C51单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统可以产生最高频率798.6HZ的波形。该信号发生器具有体积小、价格低、性能稳定、功能齐全的优点。 关键词:低频信号发生器;单片机;D /A转换; 一.设计任务 设计一个由单片机控制的信号发生器。运用单片机系统控制产生多种波形,这些波形包括方波、三角波、锯齿波、正弦波等。信号发生器所产生的波形的频率、幅度均可调节。 二.系统概述 2.1总体方案: 采用AT89C51单片机和DAC0832数模转换器生成波形,加上一个低通滤波器, 生成的波形比较纯净。它的特点是可产生任意波形,频率容易调节,频率能达到 设计的500HZ以上。性能高,在低频范围内稳定性好、操作方便、体积小、耗电 少。将输出电压通过一个运算放大器的放大来改变幅度。这样还有个优点是幅度 连续可调。 2.2工作原理: 数字信号可以通过数/模转换器转换成模拟信号,因此可通过产生数字信号再转换成模拟信号的方法来获得所需要的波形。89C51单片机本身就是一个完整的微型计算机,具有组成微型计算机的各部分部件:中央处理器CPU、随机存取存储器RAM、只读存储器ROM、I/O接口电路、定时器/计数器以及串行通讯接口等,只要将89C51再配置键盘及、数模转换及波形输出、放大电路等部分,即可构成所需的波形发生器,其信号发生器构成系统框图如下图所示。

低频正弦信号发生器 (1) (1)

《电子技术》课程设计报告 题目低频正弦信号发生器 学院(部)电子与控制工程学院 专业建筑电气与智能化 班级2013320602 学生姓名吴会从 学号201332060225 6 月29 日至 7 月10 日共2 周 指导教师(签字)

前言 正弦交流信号是一种应用极为广泛的信号,它通常作为标准信号,用于电子电路的性能试验或参数测量。另外,在许多测试仪中也需要用标准的正弦信号检测一些物理量,正弦信号用作标准信号时,要求正弦信号必须有较高的精度,稳定度及低的失真率。 本次电子课程设计的低频正弦信号发生器的要求为:信号的频率范围为20HZ~20KHZ;输出电压幅度为 5V;输出信号频率数字显示;输出电压幅度显示。 针对以上设计要求,我们从图书馆收集,借阅了大量相关书籍,从网上下载了诸多相关资料,其次安装并学习使用了电路设计中所常使用的Multisim仿真软件。在设计的要求下,画出了整体电路的框图,将其分为正弦信号发生器,输出信号频率和其数字显示,输出电压和幅度数字显示三大部分。其中,正弦信号发生器部分主要由我负责,输出信号频率和其数字显示部分主要由刘琪负责,输出电压和幅度数字显示部分主要由李光辉负责。其次我们对每个单元电路进行设计分析,对其工作原理进行介绍,通过对电路分析,确定了元器件的参数,并利用Multisim 软件仿真电路的理想输出结果,克服了设计低频信号发生器电路方面存在的技术难题,使得设计的低频信号发生器结构简单,实现方便。 完成电路的设计与分析后,对资料与设计电路进行整理,排版,完成课程设计报告。

目录 摘要 (4) 关键字 (4) 技术要求 (4) 第一章系统概述 (5) 第二章单元电路设计 (6) 第一节正弦信号产生和放大电路模块设计 (6) 第二节数字的频率显示 (10) 第三节数字电压表设计 (17) 第三章结束语 (23) 参考文献 (23) 鸣谢 (23) 元器件明细表 (24) 收获与体会,存在的问题 (24) 评语 (26)

低频正弦信号发生器

任务书 一、毕业设计(论文)题目:低频正弦信号发生器 二、毕业设计(论文)工作规定进行的日期:年月日起至年月日止 三、毕业设计(论文)进行地点: 11栋506 四、任务书的内容: 目的: 任务:低频正弦信号发生器 工作日程安排: 设计(论文)要求: 1、基本要求 (1)实现1Hz-1KHz变化的正弦信号。 (2)通过面板键盘控制输出频率,频率最小步进1Hz。 (3)输出双极性。 (4)用LED数码管实时显示波形的相关参数。 2、发挥部分 (1)不改变硬件设计,将上限频率扩展到10KHz。 (2)不改变硬件设计,扩展实现三角波和方波信号。 (3)可通过PC机上的“虚拟键盘”,实现频率等参数的控制。 (4)实现对幅度的控制。

主要参考文献: 1、周雪模拟电子技术(修订版)西安电子科技大学出版 2、杨志中数字电子技术(第二版)高等教育出版社 3、张澄高频电子电路人民邮电出版社 4、张志良单片机原理与控制技术(第二版)机械工业出版社 5、张大明单片微机控制应用技术西安电子科技大学出版社 学生开始执行任务书日期 200 年月日指导教师签名: 年月日学生送交毕业设计(论文)日期: 200 年月日教研室主任签名: 年月日 学生签名: 年月日

目录 1方案论证.................................................. 错误!未定义书签。 1.1信号发生.......................................................................................... 错误!未定义书签。 1.1.1方案一.......................................................................................... 错误!未定义书签。 1.1.2方案二.......................................................................................... 错误!未定义书签。 1.2模拟频率调制.................................................................................. 错误!未定义书签。 1.2.1方案一.......................................................................................... 错误!未定义书签。 1.2.2方案二.......................................................................................... 错误!未定义书签。2系统模块硬件电路分析. (4) 2.1 CPU控制模块 (4) 2.1.1 CPU选择 (4) 2.1.2简单的小系统控制板介绍 (5) 2.2 16*2字符型带背光液晶显示模块 (8) 2.3 驱动电路的模块............................................................................. 错误!未定义书签。 2.3.1行驱动管74HC4953..................................................................... 错误!未定义书签。 2.3.2译码器.......................................................................................... 错误!未定义书签。 2.3.3列驱动.......................................................................................... 错误!未定义书签。 2.3.4总线驱动器.................................................................................. 错误!未定义书签。3本系统LED显示屏信号的了解................................ 错误!未定义书签。 3.1 CLK时钟信号.................................................................................. 错误!未定义书签。 3.2 STB锁存信号.................................................................................. 错误!未定义书签。 3.3 EN使能信号.................................................................................... 错误!未定义书签。 3.4数据信号.......................................................................................... 错误!未定义书签。 3.5 ABCD行信号.................................................................................... 错误!未定义书签。4电路与程序设计............................................ 错误!未定义书签。 4.1硬件电路的设计.............................................................................. 错误!未定义书签。 4.1.1系统总体框图(图7)............................................................... 错误!未定义书签。 4.2程序设计思路框图(图8)........................................................... 错误!未定义书签。5调试过程 (13) 6 设计总结 (14) 附件1 电路图 (15) 附件1.1主控板:AT89S52单片机原理图(图9) (15) 附件1.2主控板:AT89S52单片机PCB图(图10) (15) 附件1.3点阵显示屏原理图................................................................. 错误!未定义书签。 附件1.4 4x4键盘原理图(图12) (15) 附件1.5 4x4键盘PCB图(图13) (17) 附件2 源程序............................................... 错误!未定义书签。 附件2.1主程序.......................................... 错误!未定义书签。 附件2.2点阵显示程序.................................... 错误!未定义书签。 附件2.3按钮扫描程序.................................... 错误!未定义书签。

相关文档
最新文档