三人表决器实验报告

三人表决器实验报告
三人表决器实验报告

武汉纺织大学

数字逻辑实验报告

数计系计算机专业102 班1004681083

姓名鲍旭良指导老师曾西洋实验日期2012年6月实验名称三人表决器

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

EDA实验报告 姓名: 学号: 班级:

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

七人表决器实验报告

七人表决器 一.实验目的 1.掌握Quartus II软件安装,熟悉Quartus II操作环境。 2.初步了解VHDL语言。 3.学习使用行为级描述方法设计电路。 二.实验原理 七人表决器 使用7个电平开关作为表决器的7个输入变量,输入为电平“1”时表示表决者“赞同”,输入为电平“0”时表示表决者“不赞同”。当表决器的7个输入变量中有不少于4个输入变量输入“1”,那么表决结果输出逻辑高电平,表示表决“通过”,否则,输出逻辑低电平,表示表决“不通过”。 七人表决器的可选设计方案非常多,可以采用使用全加器的组合逻辑。使用VHDL 进行设计的时候,可以选择行为级描述、寄存器级描述,结等方法。 当采用行为级描述的时候,采用一个变量记载选举通过的总人数。当这个变量的数值大于等于4时,表决通过,绿灯亮;否则表决不通过,黄灯亮。因此,设计时,需要检查每一个输入的电平,并且将逻辑高电平的输入数目进行相加,并且进行判断,从而决定表决是否通过。 二.实验内容 1.安装Quartus II软件,熟悉Quartus II操作环境。 2.使用VHDL实现上述描述。 3.波形仿真。 4.生成元件以及RTL 四.设计提示 1.初次接触VHDL应该注意程序的框架结构,数据类型和运算操作符。 2.了解变量和信号的区别。 3.了解进程内外语句的顺序和并行执行的区别。 4.设计文本的端口可如下:

《VHDL 语言与数字逻辑电路设计》实验指导书 - 2 – 设计文本: LIBRARY IEEE; library ieee; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL; ENTITY vote7 IS PORT( men:in std_logic_vector(6 downto 0); LedPass,LedFail:OUT std_logic ); END vote7; ARCHITECTURE behave OF vote7 IS signal pass:std_logic; BEGIN PROCESS(men) variable temp:std_logic_vector(2 downto 0); BEGIN temp:="000"; for i in 0 to 6 loop if(men(i)='1')then temp:=temp+1; else temp:=temp+0; end if; end loop; pass<=temp(2); END PROCESS; LedPass<='1' WHEN pass='1'ELSE '0'; LedFail<='1' WHEN pass='0'ELSE '0'; --库和程序包 --实体 --结构体 --结束

三人表决器实验

三人表决器实验 我们实验采取3种输入方式:原理图方式,VHDL方式,VerilogHDL.你可以只看一种.下面我分别一一介绍 三人表决器的功能描述 三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2(黄灯)亮;如果不通过那么实验板上L1(红灯)亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。 采用原理图设计三人表决器 我们根据三人表决器的直值表,可以通过卡诺图化简可以得到: L2=SW1SW2+SW1SW3+SW2SW3 L1=_L2 那么我们可以在MAX+plusII中用原理图实现上面的三人表决器 ~ 下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过: (1)打开MAX+plusII (2)新建一个图形文件:File菜单>new 新建文件时选择Graphic Editor file

点OK (3)输入设计文件 我们现在在图形文件中输入电路,我们这个电路需要AND2、OR3、NOT三个逻辑门电路和输入输出端,你可以 Symbol ->Enter Symbol(或者双击空白处) 弹出窗口: %

在Symbol Name中输入and2,点OK 同样可以加入or3、input、output、not 对input、output,鼠标左键双击PIN_NAME,那么PIN_NAME被选中,并且变黑,然后输入你要改的名字,如SW1 把元件拖动到合适位置,将光标放到元件的引线出,可以发现光标变为十字星,此时摁住左键就可以进行连线。

三人表决器EDA实验

三人表决器 1、实验目的 掌握在Quartus Ⅱ开发环境下,运用硬件描述语言输入法对“三人表决器”进行设计输入、编译、调试和仿真的方法。 “三人表决器”电路的输入为SW1、SW2 和SW3,输出为L3 和L4,位宽均为1 位。当SW1、SW2 和SW3中有超过2个以上的输入为1时,要求熟悉整个设计流程,从打开、建立文档、编辑、编译、建立激励信号波形及最后仿真的整个过程。 2、实验内容 (1)在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入; (2)完成编译、调试和仿真,分析实验仿真结果,并判断其正确性。 3、教学形式 (1)本实验为验证型实验,学生在实验前预习实验指导书; (2)指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实验中的难点和注意事项进行一定的说明; (3)实验结束之后,学生按照实验报告的书写格式自行完成实验报告。 4、应达到的实验能力标准 (1)能熟练地在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入; (2)能熟练地进行编译和调试,排除编译后的错误; (3)正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真; (4)掌握基于ACEX1K系列EP1K30TC144-3器件的时序仿真方法。 5、实验原理 三个人分别用拨位开关SW1、SW2、SW3 来表示自己的意愿,如果对某决议同意,各人就把自己的拨位开关拨到高电平,不同意就把自己的拨位开关拨到低电平。表决结果用LED (高电平亮)显示,如果决议通过那么实验板上L3 灯亮;如果不通过那么实验板上L3 灯不亮;如果对某个决议有任意二到三人同意,那么此决议通过,L3 亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L3 灯不亮。 6、实验步骤 双击Quartus4. 2 图标,启动Quartus4. 2,并新建工程

七人表决器实验报告

竭诚为您提供优质文档/双击可除七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器 总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件multisim10.0.1进行仿真,在电机楼实验室20XX5进行验证。 三、设计要求 1、熟悉74Ls161,74Ls151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1.电路原理图(含管脚接线)电路原理图如图1所示 图1电路原理图 2.计算与仿真分析

仿真结果如图2、3、4所示 图2仿真结果 图4仿真结果 4.调试流程 调试流程如图5所示 图5调试流程 5.设计和使用说明 74Ls151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为c~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,g为使能端,低电平有效。 (1)使能端g=1时,不论c~A状态如何,均无输出(Y=0,w=1),多路开关被禁止。 (2)使能端g=0时,多路开关正常工作,根据地址码c、b、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图674Ls151引脚排列 表174Ls151功能表 74Ls161功能: (1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。 (3)计数和进位功能:将LD、cr、ceT、cep端均接高电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,每输入一个cp 脉冲,计数器就进行一 篇二:课程设计报告---七人表决器设计 电子综合设计 题目 学院 专业 班级学生姓名指导教师 七人抢答器设计计信学院电子信息工程 20XX年6月18日 一、设计原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人

数字电路——三人表决器

数电设计实验报告 三 人 表 决 器

[设计题目] 三人表决器的设计与装配 [设计要求] 1.熟悉各元件的性能和设置元件的参数。 2.对电路图的原理进行分析,并对原理图进行改良,弄清楚电路的工作原理。 3. 元件安装符合工艺要求,既考虑其性能又应美观整齐。 焊接元件要注意焊点的圆润。 4.对元件的性能进行评估和替换、用性能和使用范围更好, 更常用的元件进行替换,使自己实际的元件更接近实际使用。 5 .学习数字逻辑电路的设计方法。 6. 熟知74LS138和74LS20芯片各引脚的功能及内部结构。 7.学会使用74LS138和74LS20芯片。 8.学会真值表与逻辑表达式及卡诺图之间的转换,能根据 化简后的逻辑表达式画出逻辑电路。 [电路介绍] 三人表决器主要由一个3-8位译码器(74LS138)和2个4输入与非门(74LS20)组成。通过三个按钮接受用户

输入。按钮按下表示同意,不按下表是否决,当没有人按下按钮时,或只有一个人按下按钮时,例如,S1按下,而S2和S0未按下,则红灯亮,绿灯灭,蜂鸣器无声音,表示否决,当有两个人及以上的人按下按钮后,例如,S1和S2按下,则红灯灭,绿灯亮,蜂鸣器发音,表示通过。 [电路原理] [元器件清单]

[电路真值表] 注(1表示同意、灯亮) (0表示不同意、灯不亮)

[PC板及实物图]

[电路的调试:] 电路的结果分析:在焊接是尽可能避免线路的交叉,不要把焊电焊的过大,相邻焊盘绝缘的一定不能连接在一起。 [设计心得体会] 通过这次实验,我从中收获了很多,懂得了对电路的进一步分析,也从而巩固和提高电路的设计能力、元件的选择及检测的能力等,同时也对我们所学的知识的掌握,通过本次实验我学会了逻辑表达式图之间的转换,通过检测电路使我们对电路有了更深的了解.

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 序号种类名称规格型号数量 1 U1 芯片74LS00 1 2 U2 芯片74LS10 1 3 R1、R2、R3 电阻1KΩ 3 4 R4 电阻300Ω 1 5 S1、S2、S3 按钮普通 3 6 LED 发光二极管单色发光二级管 1 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路, 74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

课程设计试验报告三人表决器

武汉纺织大学《数字逻辑》课程设计报告 题目:三裁判表决器 院系:数学与计算机学院 专业班级:计科094 学号:0904681223 学生姓名:李勤 指导教师:朱勇 2011年 5 月20 日

一、 引言 通过对传统数字电路的设计,掌握对数字逻辑设计概念的熟悉。 二、系统介绍: 主要仪器是TOS-2数字电路实验系统。选用的芯片是74LS151 8-1数据选择器。通过设计好的逻辑表达式,在TOS--2数字电路上选择好个个需要连入的拐脚,进行连线。实现三人表决器的功能。 三、设计任务及设计原理: 引脚图 功能表 设计有三个变量输入A ,B C ,二个输出 W ,F,其中F=W , 逻辑表达式: F=ABC C AB C B A BC A +++。A,B,C 通过三个开关相接,把D0---D7设置好之后, 16 15 14 13 12 11 10 9 74LS151 1 2 3 4 5 6 7 8V CC D 4 D 5 D 6 D 7 A 0 A 1 A 2D 3 D 2 D 1 D 0 Y Y S GND 输 入输 出D A 2 A 1 A 0 S Y Y × × × × 1D 0 0 0 0 0 D 1 0 0 1 0 D 2 0 1 0 0D 3 0 1 1 0D 4 1 0 0 0D 5 1 0 1 0D 6 1 1 0 0D 7 1 1 1 00 1D 0 0D D 1 1D D 2 2D D 3 3D D 4 4D D 5 5D D 6 6D D 7 7D

就可以通过调节开关来输入。输出接到一个LED灯,如果通过,那么灯就亮,否则的话,灭。 74LS151 0 G A A B B C C D0 D1 Y Y 0 D3 D3 W D4 1 D5 D6 D7 方法之一 四、代码清单:(机房答辩,提交代码) 只需要连线,无代码。 五、程序调试心得体会: 第一次线连接好之后,首先试验的是逻辑功能的正确性。用了两个LED灯,来作为输出,三个开关组合成A,B,C的八种状态。确保正确性之后,在进行的验证三人表决器。试验顺利,没有遇到困难。 六、参考文献: [1] 朱勇,数字逻辑,中国铁道出版社,2007.12 [2] 夏宇闻,Verilog DHL 入门,北京航空大学出版社,2007.5 七、致谢:感谢同学袁盼的一起合作,在连线过程中遇到问题时,一起商量。

三人表决器实验报告

电子技术与仿真 项目报告 项目名称:三人表决器的制作 专业班级:电气1 2 1 姓名:刘斌 学号: 2 4 指导教师:张琴 江西工业工程职业技术学院电工电子实训中心

一、项目目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。 二、项目要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)必须得出实验的仿真结果; 7)根据自己所仿真的电路原理图画出实物装配图。 三、项目内容 1、原理图 2、工作原理介绍 电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输

出;所以发光二极管能点亮。 3、元件清单 4、芯片 ① 74LS08 实物图 引脚图 真值表 元件序号 主要参数 数 量 R1 1K Ω 3 R2 360Ω 1 U1 74LS08 1 U2 74LS32 1 按钮开关 3 发光二极管 1 A B Y 0 0 0 0 1 0 1 0 0 1 1 1

实物图引脚图 真值表 5、电路板安装 A B Y 000 011 101 111

三人多数表决电路教学提纲

三人多数表决电路

实验十九三人多数表决电路的设计 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 4、6、7、8 项。故,表决器的辑逻表达式应是:

从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 图19—1 三人表决电路 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。

四人表决器电路设计

名称:综合训练项目一题目:四人表决器电路设计 专业: 班级: 姓名: 学号: 辽宁工程技术大学 《数字电子技术》 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管

三人表决器设计

西安电子科技大学 《数字电子技术》课程设计 题目三人表决器设计 学生姓名 专业班级通信工程 学号2011 院(系)信息工程学院 指导教师邓国辉 完成时间 2013年11月29日 手机号码

目录 1课程设计的目的 (1) 2课程设计的任务与要求 (1) 3设计方案与论证 (2) 3.2 表决方案的选择 (2) 3.3显示方案的选择 (3) 4设计原理及功能说明 (3) 4.1 三人表决器的原理框图 (3) 4.2 三人表决器基本原理图 (3) 5单元电路的设计 (4) 5.1 触发电路设计 (4) 5.2 表决电路设计 (5) 5.3 计数部分电路设计 (6) 6硬件的制作与调试 (7) 6.1 硬件的制作 (7) 6.2 硬件的调试 (8) 7总结 (8) 参考文献 (10)

附录1:总体电路原理图 (11) 附录2:元器件清单 (12)

1课程设计的目的 1、记住逻辑代数的基本定律和常用公式; 2、会用公式法和卡诺图法化简逻辑函数; 3、会识别、选购常用电路元、器件,掌握常用电路元器件的检测方法; 4、掌握逻辑门电路的逻辑功能与主要参数的测试和使用方法; 5、能合理利用门电路设计表决器; 6、能熟练掌握电路原理,及时调试和排除故障。 2课程设计的任务与要求 1、熟悉各集成逻辑元件的性能和设置元件的参数。 2、对电路图的原理进行分析,并对原理图进行改良,用仿真软件进行仿真调试,弄清楚电路的工作原理。 3、元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 4、对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5、学习数字逻辑电路的设计方法。 6、熟知74ls74、74ls08、74HC4075、74ls373各引脚的功能及内部结构。 7、学会使用各集成芯片组成逻辑电路。 8、学会真值表与逻辑表达式及的转换,能根据化简后的逻辑表达式画出逻辑电路。 9、完成“三人表决器”的逻辑设计,及组合电路的设计。

基于VerilogHDL的表决器的设计

学生课程实验报告书 12 级电通系 通信工程专业 03 班 学号 312890 姓名 2014--2015学年第 2 学期 实验项目:基于Verilog HDL的表决器的设计 实验时间: 2015.6.07 实验目的: 1、熟悉Verilog HDL的编程。 2、熟悉七人表决器的工作原理。 3、进一步了解实验系统的硬件结构。 实验原理: 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个按键开关来表示七个人,当对应的按键开关输入为‘1’时,表示此人同意;否则若按键开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。同时,数码管上显示通过的票数。 实验内容: 本实验就是利用实验系统中的按键开关模块和LED模块以及数码管模块来实现一个简单的七人表决器的功能。按键开关模块中的键1~键7表示七个人,当按键开关输入为‘1’时,表示对应的人投同意票,否则当按键开关输入为‘0’时,表示对应的人投反对票;LED 模块中D1表示七人表决的结果,当LED1点亮时,表示此行为通过表决;否则当LED1熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。 实验步骤:原理图步骤与实验一相同 模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“5”(红色数码管上显示)。 用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致

实验报告及心得体会

实验报告及心得体会 实验名称:七人表决器 实验目的:通过Max+plus2软件实现七人表决功能,熟练掌握用Max+plus2实现七人表决器的操作步骤 实验步骤: 1.打开Max+plus2软件 2.打开file-open,在open对话框的Text Editor files选择后缀为*.vhd文件格式 3.选择files为VOTE7.vhd的文件,单击ok,出现源程序文本 4.单击file-project-set project to…,单击Assign-Device选择驱动,在弹出的Device窗口下选择Device为EPF1OK1OLC84-4,单击ok 5.单击Assign-pin/location/chip…进行引脚锁定。例如,输入从men0到men6,对应的input pin为28-30、35-38,在Node name中输入pass,对应输出pin为V ote7,output pin为23,在Node name中输入stop chip name为vote7,output pin为65,单击ok 6.单击菜单下的compiler选项,在出现的窗口下单击start完成综合,单击确定,关闭窗口 7.单击菜单下的programmer选项,若未出现Hardware setup窗口,则打开options菜单下的Hardware setup选项,在弹出的窗口下,选择Hardware type为Byteblaster(MV)选项,单击ok,然后再单击configure,完成进程 8.使用仪器通过仪器观察,在K1-K8的八个输出发光二极管中(程序中选择七个)开始时都不亮。在L1-L11,这十一个发光二极管中有L6开始时亮,L11不亮,依次按下K1-K8中的四个发光二极管的按钮使其发光,则L6熄灭,L11发光,说明实现了七人表决器 实验结果:输出发光二极管K1-K8中有4个灯亮时,L6熄灭,L11发光,说明7人中只要有四人同意时,表决成功 心得体会 通过老师的实验演示,我基本明白了Max+plus2软件的使用方法。在试验过程中可能会出现一些问题,但通过老师的实验演示和清楚的强调,我明白了在使用软件设计七人表决器的过程中会遇到的问题和需要注意的地方。例如,在使用仪器箱的过程中,要注意线口的接线,切勿插反以致损坏接口,另外在使用之前先检查仪器箱的完整性。 在建立项目文件文件时,要选择正确且方便使用的文件路径,注意文件的保存,在建立完项目文件后,开始利用相关程序进行实验。在实验过程中,首先要了解各个菜单的功能,因为全是英文菜单,老师给我们介绍了相关的菜单使用,其中有一项就是在综合和进程之前,要使用projict菜单下的set project to current file选项,另外就是在选择Hardware type时要选择Byteblaster(MV),还有就是在进程的过程中记得前面的要点,主要注意的就是这两个方面。 实验的思路很简单,因为是第一次利用Max+plus2软件实现七人表决器的设计,所以熟练度还需经常练习,但基本已经清楚了其运用过程。在老师的引导下使我熟悉了这个软件并实现了七人表决器,当然在实验的过程中我也遇到了一些问题,通过请教老师我已得到了解决,相信在下次运用此软件我会避免出现类似的问题,这次实验又让我学会了一门知识,并通过与本门课程的结合,我渐渐的明白了要想学好专业课,熟练掌握相关软件的运用也是

七人表决器EDA

实验四 一、实验目的 学习表决电路的设计方法,并且体会使用HDL进行设计的方法和优点。 二、实验器材与仪器 1.EDA开发软件一套 2.微机一台 3.实验开发系统一套 4.打印机一台 5.其他器材与材料若干 三、实验说明 本实验要求设计一个七人表决器,从理论上讲可以采用真值表、布尔方程或原理图实现,但因为输入信号为7个,要列出真值表很复杂,本实验以采用HDL语言实现最为简单,七人表决器有七个人来投票,当同意的票数大于等于4人时,则认为同意,否则认为反对。实验中用七个开关来表示七个人,并且用1表示同意,0表示反对。表决的结果用LED来表示,如果表决的结果为同意,LED亮,否则灭。同时用数码管显示同意和反对的人的个数。 四、实验原理 (注意这个实验的名称是UG,所以设置时要设置名称为UG,同时要用两个数码管来显示同意盒反对的人数各为多少!数码管是hex) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY UG IS PORT(clk:IN STD_LOGIC; am:IN STD_LOGIC_VECTOR(6 DOWNTO 0); y:OUT STD_LOGIC; y1,y2:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END ENTITY UG; ARCHITECTURE one OF UG IS SIGNAL q:STD_LOGIC; SIGNAL q1,q2:STD_LOGIC_VECTOR(6 DOWNTO 0); BEGIN PROCESS(am) VARIABLE shu:INTEGER; BEGIN shu:=0; IF clk'EVENT AND clk='1' THEN IF am(0)='1' THEN shu:=shu+1;END IF; IF am(1)='1' THEN shu:=shu+1;END IF; IF am(2)='1' THEN shu:=shu+1;END IF; IF am(3)='1' THEN shu:=shu+1;END IF; IF am(4)='1' THEN shu:=shu+1;END IF;

三人表决器报告

项目一:三人表决器的设计与装配 实验报告 姓名 学号 专业数字电子技术 指导教师 实训时间 9月26日 电子信息工程系 2011-2012学年第一学期

设计题目] 项目一:三人表决权器的设计与装配 一、[设计要求] 1.对电路图的原理进行分析,并对原理图进行改良。用Mutisim软件中进行仿真调试,弄清楚电路的工作原理。 2.熟悉各元件的性能和设置元件的参数。 3. 对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 4. 元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 5.学习数字逻辑电路的设计方法。 6.培养自己的分析故障和解决问题的能力。 二、元件清单: 序号元件参数数量 1 电阻R 100Ω4个 2 按钮5v 3个 4 74LS00芯片2个 5 发光二极管1个 6 电源1个 2、元件的检测 电阻的检测:先用色环法对电阻进行读数,然后再用万用表打到相应的电阻档对电阻进行进一步的检测。 发光二极管的检测:将万用表打至欧姆档×10K,万用表的表笔对发光二极管进行反接,如果发光二极管会发光,那么说明该发光二极管是好的。 按钮的检测:将万用表打至欧姆档×1K,用万用表的表笔接在按钮的两端,如果万用表打到无穷大,按下按钮万用表的指针达到零,那么说明该按钮接通了,也是好的;反之是坏的。

三、人表决器的仿真图: (a )74LS00芯片和74LS20的原理图: U1A 74LS00D U2B 74LS00D U3C 74LS00D U4A 7410N J1 Key = A J2Key = A J3Key = A R11k|?R21k|?R31k|? R4100|? R5100|?LED1 LED2VCC 5V 1 2 3 VCC 4 5 6 8 U5A 7404N 7 9 10 J1Key = A J2Key = A J3 Key = A R11k|?R21k|?R31k|? R4100|? R5 100|?LED1 LED2 VCC 5V U5A 7404N 109 7 U6 74LS00N 1A 1B 1Y 2A 2B 2Y G N D 3Y 3B 3A 4Y 4B 4A V C C U2 74LS20N 1A 1B N C 1C 1D 1Y G N D 2Y 2D 2C N C 12B 2A V C C 4 5 6 11VCC 3 2 1

三人表决器仿真实验分析

三人表决器

摘要 本次设计的三人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,荧光屏上即显示出表决结果。在三人表决器中三个人分别用手指拨动开关S W1、S W2、S W3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用L E D(高电平亮)显示,如果决议通过那么实验板上L2亮;如果不通过那么实验板上L1亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。

一.任务 设计三人表决器电路,并在仿真软件上验证电路 的正确性。 二.目标 掌握三人表决器电路的结构。 学会仿真软件数字部分的操作。 三.要求 1复习三人表决器电路的设计步骤。 2熟悉用集成电路的引脚位置及各引脚用途。 3使用TTL门电路时,一定要正确连接电源端和接地端 4按集成电路引脚图正确接线,芯片电源引脚不要接错,以免损坏芯片,影响实验正常进行。 四.实训工具及设备 电脑一台;Mult isi m软件

五.仿真电路图 六.设计的主要内容: 按照设计题目,根据所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成三人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。

七.三人表决器概述: 1.三人表决器的功能描述 三个人分别用手指拨动开关S W1、S W2、S W3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LE D (高电平亮)显示,如果决议通过那么实验板上L2亮;如果不通过那么实验板上L1亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮 2.三人表决器”的逻辑功能 表决结果与多数人意见相同。 设X0、X1、X2为三个人(输入逻辑变量),赞成为1,不赞成为0;Y0为表决结果(输出逻辑变量),多数赞成Y0为1,否则,Y0为0.其真值表如下图所示。 表1“三人表决器”真值表输入逻辑变量输出逻辑变量 其中X0,X1,X2为输入表决信号即为s w1,s w2,s w3指示灯,Y0为输出结果即L E D灯 由真值表写出逻辑表达式并化简得:Y0=X0*X1+X0*X2+X1*X2

七人表决器实验报告

七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件,在电机楼实验室XX5进行验证。 三、设计要求 1、熟悉74LS161,74LS151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1. 电路原理图(含管脚接线)电路原理图如图1所示 图1 电路原理图 2. 计算与仿真分析 仿真结果如图2、3、4所示 图2 仿真结果 图4 仿真结果 4. 调试流程 调试流程如图5所示 图5 调试流程

5. 设计和使用说明 74LS151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。 (1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。 (2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:CBA=000,则选择D0数据到输出端,即Y=D0。如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图6 74LS151引脚排列 表1 74LS151功能表 74LS161功能: (1) 异步置“0”功能:接好电源和地,将清除端接低电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在CP的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为

三人表决器仿真实验分析(word文档良心出品)

摘要 本次设计的三人表决器,是投票系统中的客户端,是一种 代表投票或举手表决的表决装置。表决时,与会的有关人员 只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,荧光屏上即显示出表决结果。在三人表决器中三个人分别用手指 拨动开关SW1、SW2、SW3 来表示自己的意愿,如果对某决议同意, 各人就把自己的指拨开关拨到高电平(上方),不同意就把自己 的指拨开关拨到低电平(下方)。表决结果用LED (高电平亮) 显示,如果决议通过那么实验板上L2 亮;如果不通过那么实验 板上L1 亮;如果对某个决议有任意二到三人同意,那么此决议 通过,L2 亮;如果对某个决议只有一个人或没人同意,那么此决 议不通过,L1亮。

.任务 设计三人表决器电路,并在仿真软件上验证电路 的正确性。 目标 掌握三人表决器电路的结构。 学会仿真软件数字部分的操作。 .要求 复习三人表决器电路的设计步骤。 熟悉用集成电路的引脚位置及各引脚用途。 使 用 TTL 门 电 路 时 , 一 定 要 正 确 连 接 电 源 端 和 接 地 端 按集 成 电 路 引脚图 正 确 接 线 ,芯 片电 源 引 脚 不要接 错 ,以 免 损坏芯片,影响实验正常进行。 具及设备 电 脑 一 台 ; Mult isi m 软 件 1 2 3 4

五. 仿真电路图 41^ O JO t 7 "T c, IM-i 六. 设计的主要内容: 按照设计题目,根据所学的组合逻辑所学的知识及数字 电路和嵌入式的知识完成三人表决器的设计,使之能够 满足表决时少数服从多数的表决规则,根据逻辑真值表 fTrrn JIM I ■M ■r_- h_* "fa q 7 i z ■ o 01 na Q —

2016年度北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名:

学号: 班内序号:

目录(一)实验名称及实验任务要求·1 (二)模块端口说明及连接图·2 1.1实验三(3)模块端口说明·2 1.2实验三(3)连接图·2 2.1实验四模块端口说明·2 2.2实验四连接图·2 (三)原理图或VHDL代码·3 1.实验一(2)原理图·3 2.实验三(3)VHDL代码·4 3.实验四VHDL代码·7(四)仿真波形·10 1.实验一(2)仿真波形·10 2.实验三(3)仿真波形·11 3.实验四仿真波形·11 (五)仿真波形分析·11 1.实验一(2)仿真波形分析·11 2.实验三(3)仿真波形分析·11 3.实验四仿真波形分析·11(六)故障及问题分析·12 (七)总结和结论·13

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图