比较器电路

比较器电路
比较器电路

LM311比较器

LM311是单比较器、LM393是双比较器、LM339是四比较器。它们都是晶体管结构,输出级是集电极开路结构。LM311是一种多用途的电压比较器,它具有失调电压平衡调节端(或用作选通端),并且具有连接负载多样性及输出电流可达50mA的特点。

LM311的管脚排列见图12。各管脚功能见附表。

该器件工作于单电源5V~30V或±15V双电源;正电源工作电流为 2.4mA(典型值)、负电源工作电流为-1.3mA(典型值);输入失调电压典型值为2mV;输入失调电流典型值为1.7nA;输入偏置电流典型值为45nA;电压增益典型值为200V/mV;响应时间典型值为200ns;输入电压范围为-14.7~13.8V。

LM311的基本电路如图13~18所示。

(1)失调电压平衡(图13)。调平衡时两输入端接地,调5kΩ电位器,使输出为最小电压值(接近0V)。

(2)单电源供电(图14)。此时V EE与G ND连接。图13、14中,负载电阻R L接在V CC 与Output之间。

(3)R L接在1脚与地之间(图15)。按图15接法输入极性是颠倒的(即3脚作为同相端)。

(4)R L接在1脚与V EE之间(图16)。按图16接法输入极性是颠倒的。

(5)正负电源时R L的一般接法(图17)。

(6)选通比较器接法(图18)。在6脚接一个三极管作选通接法。在三极管基极接TTL 高电平时,比较器被选通(正常工作);基极加低电平时比较器不工作。

LM393及LM2903是一种低失调电压双比较器,管脚排列见图19。此两器件主要性能相同,但工作温度范围不同:前者为0~70℃;后者为-40℃~105℃。它的主要特点为:工作电压范围宽,单电源工作电压2V~36V、双电源工作电压±(1V~18V);工作电流小,典型值

为0.4mA;输入偏置电流典型值为25nA;输入失调电流为5nA;输入失调电压为±1mV (LM2903为±2mV);输入共模电压范围可到地电平;差动输入电压范围等于电源电压;输出电压与TTL、CMOS逻辑电平兼容;输入端有ESD保护;电压增益典型值为200V/mV;大信号响应时间为300ns。

LM339及LM2901是四比较器,其管脚排列见图20。该两器件主要参数性能相同,但工作温度范围不同:LM339的工作温度范围为0~70℃,而LM2901的工作温度范围为-40℃~+105℃。此两器件主要特点:可单电源供电,也可双电源供电,供电电压范围宽,为2V~36V或±(1V~18V)。工作电流低(与电源电压无关),典型值为0.8mA;输入偏置电流典型值为25nA;输入失调电流典型值为±5nA;输入失调电压典型值为2mV;输入共模电压范围包括地电平;差动输入电压等于电源电压;饱和电压与电源电压之差低;输出电压与TTL、CMOS逻辑电平兼容;大信号响应时间典型值为300ns;电压增益为200V/mV。

常用运放电路及其各类比较器电路

常用运放电路及其各类比较器电路

————————————————————————————————作者:————————————————————————————————日期:

彭发喜,制作 同相放大电路: 运算放大器的同相输入端加输入信号,反向输入端加来自输出的负反馈信号,则为同相放大器。 图是同相放大器电路图。 因为e1=e2,所以输入电流极小,输入阻抗极高。 如果运算放大器的输入偏置电流,则 e1=e2 放大倍数: 原理图:

反相比例运算放大电路图: 1号图: 2号图: 反相输入放大电路如图1所示,信号电压通过电阻R1加至运放的反相输入端,输出电压vo通过反馈电阻Rf反馈到运放的反相输入端,构成电压并联负反馈放大电路。R ¢为平衡电阻应满足R ¢= R1//Rf。 利用虚短和虚断的概念进行分析,vI=0,vN=0,iI=0,则 即

∴ 该电路实现反相比例运算。 反相放大电路有如下特点 1.运放两个输入端电压相等并等于0,故没有共模输入信号,这样对运放的共模抑制比没有特殊要求。 2.vN= vP,而vP=0,反相端N没有真正接地,故称虚地点。 3.电路在深度负反馈条件下,电路的输入电阻为R1,输出电阻近似为零。 运算放大器减法电路原理: 图为运放减法电路 由e1输入的信号,放大倍数为R3/R1,并与输出端e0相位相反,所以 由e2输入的信号,放大倍数为 与输出端e0相位相,所以

当R1=R2=R3=R4时e0=e2-e1 加法运算放大器电路: 加法运算放大器电路包含有反相加法电路和同相加法电路. 同相加法电路:由LF155组成。 三个输入信号同时加到运放同相端,其输入输出电压关系式:

LM339电压比较器原理应用

四电压比较器LM339的8个典型应用例子 LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:1)失调电压小,典型值为2mV;2)电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;3)对比较信号源的内阻限制较宽;4)共模范围很大,为0~(Ucc-1.5V)Vo;5)差动输入电压范围较大,大到可以等于电源电压;6)输出端电位可灵活方便地选用。 LM339集成块采用C-14型封装,图1为外型及管脚排列图。由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。 LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。 单限比较器电路 图2a给出了一个基本单限比较器。输入信号Uin,即待比较电压,它加到同相输入端,在反相输入端接一个参考电压(门限电平)Ur。当输入电压Uin>Ur时,输出为高电平UOH。图2b为其传输特性。

一种高性能的CMOS电压比较器设计

【关键词】电压比较器高增益低功耗失调电压 模拟集成电路中比较器是一个基本模块,广泛应用于模拟信号到数字信号的转换。在a/d 转换器中,电压比较器的增益,带宽,功耗,失调电压的特性严重影响整个转换器的转换速度和精度,传统的电压比较器采用多级结构,使用输入失调存储技术(ios)和输出失调存储技术(oos)对失调电压进行消除,增加了电路结构的复杂度和功耗,芯片面积也越来越大。但随着应用速度越来越高,功耗要求越来越低,ios和oos要求放大器有足够高的增益和带宽,这些因素对于其发展有一定的制约作用。 本文设计的电压比较器电路结构简单,采用了两级放大结构,前级放大采用差分放大电路,利用差分电路抑制共模信号的干扰,提高了共模抑制比,减少了信号中噪声的干扰,第二级放大采用共源共栅电路对失调电压进行了很好的控制,使电路的失调电压达到150μv,输出级采用推挽输出电路提升了输出的驱动能力,整个比较器的功耗非常低,芯片整个面积仅为29.56μm×25.68μm。该比较器设计主要用于高精度时间测量芯片中,通过比较器产生一个低延时的门控信号,对于整个时间测量电路达到一个精准的控制。通过仿真结果得知,该电压比较器满足应用需求。 1 电压比较器结构 如图1所示为cmos电压比较器原理图,该比较器由偏置电路、差分放大器、共源放大器和推挽级输出电路组成。其中,m1管和m2管组成偏置电压电路,为差分放大器和共源放大器提供偏置电压。通过调节m1管和m2管的宽长比,让差分放大器和共源放大器得到合适的工作电流,合理设计差分放大器和共源放大器,主要考虑输入失调电压、输入共模范围、输出信号的增益和带宽的影响,设计出一个性能最优的比较器电路。m10管和m11管组成一个推挽输出级电路,提升输出信号的驱动能力,为了能更好的和其它电路进行协同工作。 该电压比较器的工作原理如下:是同相输入端,是反相输入端。当输入电压高于时,m3管导通,,m3管和m7管的电流相同,m8管又与m7管为镜像电流关系,m8管导通,使,b点为高电平,c点为低电平,vo输出高电平。当输入电压低于vb时,,因此,m4管导通阻抗低,b点为低电平,导致m9管导通,c点为高电平,vo输出为低电平。 1.1 偏置电压电路设计 m1管和m2管组成偏置电路提供m5管和m6管的栅极电位。偏置电路采用pmos管和nmos 管栅漏极相连,两管子均工作于饱和区,为差分放大器和共源放大器提供恒定的电流源。因此, 1.2 差分放大器的设计 差分放大电路的作用有两个:首先对输入信号进行放大,这样就可以对比较级电路的比较时间进行降低,同时把总体延时降到最低;其次是对输入信号差值进行放大,这样就可以把失调电压对整个电路的影响降到最低。高带宽在高速比较器中是一个重要影响因素,高的带宽可以使整个电路的比较时间减少,从而对于比较器的速度进行提高。 负向共模输入电压决定了差分输入对管。负向共模输入电压取决于m5管进入饱和区的条件。负向共模输入电压为。 m3管、m4管和m5都工作在饱和区,三个管子的阈值电压相等。 考虑到负向共模范围低和电压增益高的要求,取=1.2v ,由式(7)可以得到m3管的宽长比。 m3管和m4管是完全对称的输入对管,所以可以得到。 有源负载对管m7和m8由正向共模输入电压决定,正向共模输入电压取决于m3管进入饱和区的条件,则得到: 设计共模输入电压=3v,。i0为差分放大器的工作电流。由式(8)可以得到m7管的宽长

电压比较器电路图

电压比较器电路图 单限比较器电路 OH。图1B为其传输特性。 图3为某仪器中过热检测保护电路。它用单电源供电,1/4LM339的反相输入端加一个固定的参考电压,它的值取决于R1于R2。UR=R2/(R1+R2)*UCC。同相端的电压就等于热敏元件RT的电压降。当机内温度为设定值以下时,“+”端电压大于“-”端电压,UO为

高电位。当温度上升为设定值以上时,“-”端电压大于“+”端,比较器反转,UO输出为零电位,使保护电路动作,调节R1的值可以改变门限电压,既设定温度值的大小。 图3 迟滞比较器 图1 不难看出,当输出状态一旦转换后,只要在跳变电压值附近的干扰不超过ΔU之值,输出电压的值就将是稳定的。但随之而来的是分辨率降低。因为对迟滞比较器来说,它不能分辨差别小于ΔU的两个输入电压值。迟滞比较器加有正反馈可以加快比较器的响应速度,这是它的一个优点。除此之外,由于迟滞比较器加的正反馈很强,远比电路中的寄生耦合强得多,故迟滞比较器还可免除由于电路寄生耦合而产生的自激振荡。 图2 图3为某电磁炉电路中电网过电压检测电路部分。电网电压正常时,1/4LM339的U4<,U5=,输出开路,过电压保护电路不工作,作为正反馈的射极跟随器BG1是导通

的。当电网电压大于242V时,U4>,比较器翻转,输出为0V,BG1截止,U5的电压就完全决定于R1与R2的分压值,为,促使U4更大于U5,这就使翻转后的状态极为稳定,避免了过压点附近由于电网电压很小的波动而引起的不稳定的现象。由于制造了一定的回差(迟滞),在过电压保护后,电网电压要降到242-5=237V时,U4UR2或UIN

迟滞比较器设计

迟滞比较器设计 1. 设计需求分析: 电路工作描述:例如:当Vin<300mmHg 压力对应电压值(如:2.7V)时,Vout 为低电平,当Vin>2.7V 时,Vout 为高电平,使Q7导通,Valve 信号为低电平,气阀打开。直到Vin<0.3V 时,Vout 才恢复为低电平。 血压模块过压保护电路模型如下: 说明:图中Vin 为压力传感器压力电压值 对应于迟滞比较器的电压传输特性图,VTL=0.3V ,VTH=2.7V ,VOL=0V ,VOH=VCC 。 2.电路模型计算: 从电压传输特性图可以看出,Vout=VOL 时,Vin=VTH 。由运放的虚短和虚断特性可以 得出,其中 2 R VCC Vref +=()1 *IRin IRf IRin 算式VOL Rin Vref Rf Rin VTH Rf VOL Vref Rin Vref VTH VOL Vref IRf Rin Vref VTH ?+= ?= ??= ?= =当Vout=VOH 时,Vin=VTL ,同理可得。 ()2*IRin IRf IRin 算式Rf VOH Rin Vref Rf Rin VTL Rf VOH Vref Rin Vref VTL Rf VOH Vref IRf Rin Vref VTL ?+= ?= ??= ?= =

将VTH 与VTL 相减得:()3 ........*算式Rf Rin VOL VOH VTL VTH ?= ?将需求分析中的VTL=0.3V ,VTH=2.7V ,VOL=0V ,VOH=VCC(实际为3.3V),代入上面的算式3中,可得4..........375.1算式Rin Rf =。将算式4代入算式1中,可得到Vref=1.563V 3. 参数选择: v R1,R2电阻的选择:根据2 12 * R R R VCC Vref +=R1=1.111*R2。考虑到实际电 阻阻值和功耗方面要求,有以下电阻可选: R 2(K Ω) R 1(K Ω)22.2222.22.444233.3335.15.66612022.222224.4423033.335156.661300333.3510 566.61 为了达到精确的目的,可以用两个串联电阻代替R1。v Rin 和Rf 的选择:根据Rin Rf *375.1=,考虑到实际电阻阻值,功耗,系统电路影响等方面要求,有以下电阻可选: R i n (K Ω)R f (K Ω)1.52.062522.752.23.0252.43.32230.2524 33 4.仿真验证: 仿真工具:MultiSIM 10.0,电路原理图及仿真结果如下图所示:

一位数据比较器电路的设计 (2)

新疆大学 课程设计报告 所属院系:电气工程学院 专业:电气工程 课程名称:电子技术B课程设计 设计题目:一位数据比较器电路的设计 班级:电气班 学生姓名: 学生学号: 指导老师: 完成日期:2014.01.13 —2014.01.20

一位数据比较器的电路设计 1.设计目的 (1)了解EDA技术的发展及应用 (2)掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计(3)学习MAX+PLUSⅡ软件的应用方法 (4)应用EDA技术的设计方法完成4位右移移位寄存器的设计(采用原理图和文本法两种方法实现),并在MAX+PLUSⅡ上仿真 2.关于MAX+PlusⅡ的使用与仿真 2.1 MAX+plus2软件简介 MAX+plusII是Altera公司提供的一个集成化开发系统,该系统界面友好,学习 容易,使用简单,功能齐全,是一款流行的EDA开发平台。 MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内完成。特别是在原理图输入等方面,Maxplus2被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合初学者使用。 EDA (Electronic Design Automation) EDA技术就是依靠功能强大的电子计算机,在EDA 工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC芯片中,实现既定的电子电路设计功能。 2.2MAX+plus2 使用方法简要说明 MAX+plus2硬件平台的微机最好配置512MB内存、4,3GMB硬盘,可以在Windows XP等操作系统支持下工作。在进行了MAX+plus2的系统安装和系统启动后,对于所要设计和仿真的系统需要进行如下基本步骤: (1)VHDL语言工程文件的建立和编辑; 文件的建立:新建文件(file/new/text editor file)、输入文本(text editor)、保存文件(file/save);文件的修改:打开需修改文件 (file/open/*.vhd)、修改(text editor)、保存 (file/save); (2)电路图的建立和编辑

电压比较器工作原理及应用实例

电压比较器工作原理及应用实例 时间:2011-11-24来源:作者:方佩敏 来源:https://www.360docs.net/doc/3c3204879.html, 本文主要介绍电压比较器基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。 什么是电压比较器 简单地说,电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端)及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压VA,反相端输入VB。VA和VB的变化如图1(b)所示。在时间0~t1时,VA>VB;在t1~t2时,VB>VA;在t2~t3时,VA>VB。在这种情况下,Vout 的输出如图1(c)所示:VA>VB时,Vout输出高电平(饱和输出);VB>VA时,Vout 输出低电平。根据输出电平的高低便可知道哪个电压大。 如果把VA输入到反相端,VB输入到同相端,VA及VB的电压变化仍然如图1(b)所示,则Vout输出如图1(d)所示。与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB的输入端有关。 图2(a)是双电源(正负电源)供电的比较器。如果它的VA、VB输入电压如图

1(b)那样,它的输出特性如图2(b)所示。VB>VA时,Vout输出饱和负电压。 如果输入电压VA与某一个固定不变的电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压。如果这参考电压是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器的工作原理 比较器是由运算放大器发展而来的,比较器电路可以看作是运算放大器的一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门的比较器集成电路。 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4个电阻的关系式为: Vout=(1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若R1=R2,R3=RF,则 Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、RF开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。

迟滞性比较器的设计方法

一种自适应迟滞性比较器的设计 关键词:迟滞电路,比较器 摘要:设计了一种由滤波器和迟滞比较器构成的传输频率信号电路。设计使用滤波器将输入信号改变适当的相位作为迟滞比较器标准端的信号,而原信号输入比较器的另一端。那么由于迟滞比较器的电压同时随输入信号改变。 迟滞电路(hysteresis circuit)又称施密特触发电路(schmitt trigger circuit)。因他能滤除干扰噪声而获得很广泛的运用。在一些应用场合中,特别在某些模/数转换电路中[1],迟滞比较器作为抗干扰的比较器应用较多。为了获得更好的转换效果,需要较好地选择迟滞比较器正端输入的基准电压。而信号的未知为确定基准电压带来麻烦。本文设计的一种加入滤波器的迟滞比较器解决了这个问题。 1 迟滞比较器的设计 迟滞性是比较器的一种特性,他使比较器的输入阈值随输入(出)电平而改变。比较器实现的方法很多。他们都有不同形式的正反馈。最常见的即是由放大器接成正反馈组成。这类迟滞比较器由于方便的设计和放大器的标准生产成为主流。设计选用了最常见的由放大器正反馈的设计,如图1所示。 由米尔曼公式可得输入电压升高和降低时的基准电压如下式:

而电路能滤掉的噪声即迟滞性为: 由上式可知,迟滞性由电源电压和R4,R5阻值决定。本设计中V r的大小是变成的,因此正负基准电压也随V r变化,为了达到自适应的目的希望基准电压对输入有好的跟随性同时减小输出端的影响。因此将R4取值得比R5要小一个数量级。 2 滤波器的设计 设计滤波器往往要考虑下列因素: (1)工作频率范围。 (2)参数变化的灵敏度及稳定度。 (3)实际元件的重量和大小。 (4)运算放大器的电压源。 2.1 滤波器的选择[2] 本设计是工作在低频的比较器。此时当信号频率是低频时可以考虑的方式有低通、带通或全通,同时还可选择一阶或多阶。在考虑此设计后,一阶滤波器在此设计中是较好的,且低通

电压比较器LM393学习资料

电压比较器L M3 93

电压比较器LM393 【教材分析】 “电压比较器”这部分内容是上海市劳动技术教材(科教版)高二年级第二章控制技术里面电子控制系统部分的内容。理解掌握电压比较器电路的工作原理对于后续“光电自动循迹小车”控制原理部分的学习非常重要。 LM393数字电路作为一个新的知识点,如果结合“光电自动循迹小车”电路图讲解,由于还涉及到输入输出部分的分析,很多学生理解起来有困难。只有将电压比较器在电路中的功能及应用讲清讲透彻,在这个基础条件上再让学生学习制作“光电自动循迹小车”能起到事半功倍的效果。 本节课从电压比较器接法分析和实验验证入手,一步步引导学生深入探讨,然后结合生活实例让学生动手设计制作“光控照明电路”,在实践中加深 学生对于电压比较器的理解掌握。 【学情分析】 由于高二已进行文理分班,考虑到本班级是文科班,在课堂教学内容安排 上我尽量降低难度,在理论知识讲解上要透彻,在实践操作指导上要细致,能让大多数学生都能体验技术设计的过程,感受技术活动的乐趣。 在前阶段的学习中学生已经认识了基本的电子元器件如电阻、发光二极 管、传感器等,用电子实验板搭建过几个简单电路,也了解了一些数字集成电路的知识。通过生活实例讲解电压比较器作用后,让学生通过实验板搭建实验验证,在此过程中学生既能理解电压比较器功能,又能了解LM393的电路接 法。在此基础上结合生活实际启发学生设计制作“光控照明电路”,引导学生拓宽思路,开拓视野,有助于培养学生分析问题解决问题的能力,有助于学生

综合设计能力的提高。 【教学目标】 1、知识与技能 (1)初步学会识读集成电路LM393的内部结构和引脚图。 (2)理解电压比较器在电路中的作用和接入电路的方法。 (3)学会用集成电路LM393设计制作简单的电子作品。 2、过程与方法 (1)共同探讨电压比较器接入电路的方法,选择合适电子元器件在电子实验板上搭建验证电路,探究电压比较器电路的工作原理。 (2)联系生活实际,通过分析、设计、制作、调试“光控照明电路”,进一步了解电压比较器在实际电路中的作用,提高分析问题、解决问题的能力。 3、情感态度与价值观 (1)通过电压比较器电路分析、在电子实验板上组装与实验调试,达到“理论一实践一理论”相结合,激发学习兴趣,增强创新意识,合作意识。 (2)通过“光控照明电路”的设计和制作,感悟数字技术对改善生活的作用,激发学习科学技术、应用科学技术的热情。 【教学重点与难点】 1、重点:电压比较器电路的工作原理 2、难点:电压比较器接入电路的方法 【教学器材】 教具:多媒体课件、多媒体实物投影

高速AD、DA和高速比较器模块电路设计

FPGA FPGA AD_CLK PIN_125 B[3] PIN_48 DA_OUT[9] PIN_120 B[4] PIN_52 DA_OUT [8] PIN_119 B[5] PIN_55 DA_OUT [7] PIN_118 B[6] PIN_58 DA_OUT [6] PIN_115 B[7] PIN_60 DA_OUT [5] PIN_114 B[8] PIN_64 DA_OUT [4] PIN_113 B[9] PIN_67 DA_OUT [3] PIN_112 B[10] PIN_70 DA_OUT [2] PIN_104 B[11] PIN_72 DA_OUT [1] PIN_103 B[12] PIN_74 DA_OUT [0] PIN_101 B[13] PIN_76 DA_PD PIN_100 B[14] PIN_80 DAC DA_CLK PIN_121 B[15] PIN_86 A[0] PIN_40 B[16] PIN_92 A[1] PIN_42 B[17] PIN_94 FPGA I/O A[2] PIN_44 FPGA I/O B[18] PIN_97 FPGA I/O A[0] LED 2.4 FPGA SPI C8051F020 FPGA EP2C5T144C8 SPI 2.4.1 C8051F020 EP2C5T144C8 I/O F PGA_D0(PIN_9) F PGA_D1(PIN_8)F PGA_D2(PIN_7)F PGA_D3(PIN_4)F PGA_D4(PIN_3)P30P31P32P33P34 2.4.1 FPGA SPI 2.5 10bit ADC 40Msps 10bit DAC 165Msps 2.5.1 TL3016 TI 2.5.1.1 5V ±5V LA TCH ENABLE 7.6 ns

模电自主设计实验—同相滞回电压比较器的研究 - 副本

姓名班级学号 实验日期节次教师签字成绩 实验名称同相滞回电压比较器的研究 1.实验目的 1.掌握同相滞回电压比较器的电路构成及特点。 2.掌握测试同相滞回电压比较器的方法。 3.掌握同相滞回电压比较器的设计方法。 4.掌握同相滞回电压比较器的仿真方法。 2.总体设计方案或技术路线 1.应用背景 电压比较器是集成运算放大器非线性应用电路,它是对输入信号鉴幅和比较的电路, 是组成非正弦波发生电路的基本单元电路,在测量和控制中有着相当广乏的应用。 所以本次试验以研究同相滞回电压比较器为基础来了解电压比较器的特性和功能。 2.同相滞回电压比较器 滞回比较器有两个阈值电压,输入电压ui从小变大过程中使输出电压uo产生跃变 的阈值电压,不等于从大变小过程中是输出电压产生跃变的阈值电压,电 路具有回滞特性。 同相滞回电压比较器的电路如图1所示,根据电压传输特性可知,输入电压作用于 同相输入端,uo=。求解阈值的电压表达式为

3.实验电路图 图中为100 KΩ,为10 KΩ,为5.1 KΩ4.仪器设备名称、型号 1.示波器 1台 2.直流稳压电源 1台 3.低频信号发生器 1台 4.交流毫伏表 1台 5.万用表 1块 6.模电实验箱 1台5.理论分析或仿真分析结果 理论的传输特性曲线为

6.详细实验步骤及实验结果数据记录 一.基础实验 运放选择LM324芯片,按图1正确连接好电路,并进行如下操作: 1.接可调直流电源,调输入电压测出由时的临界值。并记录 到表格1中 2. 接可调直流电源,调输入电压测出由时的临界值。并记录 到表格1中。 表格1 并且根据以上结果绘制出传输特性曲线: 3.输入幅值、频率f=500的正弦波,观察波形并记录如下。

LM339--迟滞比较器

LM339 ——迟滞比较器 一、功能描述 本电路是将LM339制作成一个反相迟滞比较器,通过在反相端输入信号,与 同相端的基准电压比较,当U +> U - 时,输出端相当于开路,输出高电平;当U + < U - 时,输出管饱和,相当于输出端接低电平。 二、数据说明 1、测试条件:TDS1012示波器、SG1020A数字合成信号发生器、TH-SS3022 型数显直流稳压电源 2、测试工具:万用表、TDS1012示波器、SG1020A数字合成信号发生器、 TH-SS3022型数显直流稳压电源 3、测试方法:测试前用万用表检测电路的通路与断路,测试时用示波器观 察输入和输出波形并记录。 4、测试数据: 表1 输入频率与输出的关系 测试条件:单电源输入Vcc=12V,输入正弦波,峰峰值为2V,加1V偏置,Vref=1V)

图1 输入频率与输出的关系 表2 输入电压与输出的关系 测试条件:单电源输入Vcc=12V,输入正弦波,频率为5K,Vref=1V) 5、结果分析: 迟滞比较器中加入正反馈可以克服输出端的抖动,所以在输入电压幅值增加时,输出端的幅值没有发生任何改变。输出电压的幅值不会随频率的改变而改变,但是保持高低电平的时间高度随着频率的增大而减小,并且波形随频率的增大开始产生失真,在我们的测量中,最大可以达到210KHZ。同时从上面的数据可以看出,上升时间总是大于下降时间。 三、芯片介绍 1、芯片特点:内部装有四个独立的电压比较器,工作电源电压范围宽,单

电源、双电源均可工作(单电源: 2~36V ,双电源:±1~±18V );消耗电流小,I CC =1.3mA;输入失调电压小,V IO =±2mV ; 共模输入电压范围宽, Vic=0~Vcc-1.5V;输出与TTL ,DTL ,MOS ,CMOS 等兼容; 输出可以用开路集电极连接“或”门. 2、芯片用途: 满足比较器的基本用途,可以用作单限比较器,迟滞比较器,窗口比较器等,用来比较电压,用得最多的是在电磁炉中,做过压过热保护。 3、引脚及封装: 采用双列直插14 脚塑料封装(DIP14)和微形的双列14 脚塑料封装(SOP14) 图2 引脚图及内部结构图 表3 主要参数

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

电压比较器电路图

电压比较器电路。 电压比较器是比较两个电压和开关输出或高或低的状态,取决于电压较高的电路。一个基于运放电压比较器上显示。图1显示了一个电压比较器的反相模式图显示了在非反相模式下的电压比较。 电压比较器 非反相比较 在非反相比较器的参考电压施加到反相输入电压进行比较适用于非反相输入。每当进行比较的电压(Vin)以上的参考电压进入运放的输出摆幅积极饱和度(V+),和副反之亦然。实际上发生了什么是VIN和Vref(VIN-VREF)之间的差异,将是一个积极的价值和由运放放大到无穷大。由于没有反馈电阻Rf,运放是在开环模式,所以电压增益(AV)将接近无穷。+所以最大的可能值,即输出电压摆幅,V。请记住公式AV=1+(Rf/R1)。当VIN低于VREF,反向发生。 反相比较

在相比较的情况下,参考电压施加到非反相输入和电压进行比较适用于反相输入。每当输入电压(Vin)高于VREF,运放的输出摆幅负饱和。倒在这里,两个电压(VIN-VREF)之间的差异和由运放放大到无穷大。记住公式AV=-Rf/R1。在反相模式下的电压增益的计算公式是AV=-Rf/R1.Since没有反馈电阻,增益将接近无穷,输出电压将尽可能即负,V-。 实际电压比较器电路 一种实用的非基于UA741运放的反相比较器如下所示。这里使用R1和R2组成的分压器网络设置参考电压。该方程是VREF=(五+/(R1+R2)的)×R2的。代入这个方程电路图值,VREF=6V。当VIN高于6V,输出摆幅?+12V直流,反之亦然。从A+/-12V 直流双电源供电电路。 电压比较器的使用741

一些其他的运放,你可能会感兴趣的相关电路 1求和放大器:总结放大器可以用来找到一个信号给定数量的代数和。 2。集成使用运放:对于一个集成的电路,输出信号将输入信号的积分。例如,一个集成的正弦波使余弦波,方波一体化为三角波等。 3。反相放大器:在一个反相放大器,输出信号将输入信号的倒版,是由某些因素放大。 4,仪表放大器:这是一个类型的差分放大器输入额外的缓冲阶段。输入阻抗高,易于匹配结果。仪表放大器具有更好的稳定性,高共模抑制比(CMRR),低失调电压和高增益。

LM339比较器应用电路

lm339应用电路图:LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:失调电压小,典型值为2mV;电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;对比较信号源的内阻限制较宽;共模范围很大,为0~(Ucc-1.5V)Vo;差动输入电压范围较大,大到可以等于电源电压;输出端电位可灵活方便地选用。 LM339集成块采用C-14型封装,图1为外型及管脚排列图。由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。 LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。 单限比较器电路 图3为某仪器中过热检测保护电路。它用单电源供电,1/4LM339的反相输入端加一个固定的参考电压,它的值取决于R1于R2。UR=R2/(R1+R2)*UCC。同相端的电压就等于热敏元件Rt的电压降。当机内温度为设定值以下时,“+”端电压大于“-”端电压,Uo为高电位。当温度上升为设定值以上时,“-”端电压大于“+”端,比较器

比较器工作原理及应用

电压比较器(以下简称比较器)就是一种常用得集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A /D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用得电压比较器。 什么就是电压比较器 简单地说,电压比较器就是对两个模拟电压比较其大小(也有两个数字电压比较得,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)就是比较器,它有两个输入端:同相输入端(“+”端) 及反相输入端(“—”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这就是个单电源比较器),同相端输入电压VA,反相端输入VB。VA与VB得变化如图1(b)所示。在时间0~t1时,VA〉VB;在t1~t2时,VB〉VA;在t2~t3时,V A〉VB。在这种情况下,Vout得输出如图1(c)所示:VA>VB 时,Vout输出高电平(饱与输出);VB>VA时,Vout输出低电平。根据输出电平得高低便可知道哪个电压大.

如果把VA输入到反相端,VB输入到同相端,VA及VB得电压变化仍然如图1(b)所示,则Vout输出如图1(d)所示.与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB得输入端有关。 图2(a)就是双电源(正负电源)供电得比较器.如果它得VA、VB输入电压如图1(b)那样,它得输出特性如图2(b)所示。VB〉VA时,Vout输出饱与负电压。

如果输入电压VA与某一个固定不变得电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压.如果这参考电压就是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器得工作原理 比较器就是由运算放大器发展而来得,比较器电路可以瞧作就是运算放大器得一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门得比较器集成电路。 图4(a)由运算放大器组成得差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与V A、VB及4个电阻得关系式为:Vout=(1+RF/R1)·R3/(R2+R3)VA—(RF/R1)VB。若R1=R2,R3=RF,则Vout=RF/R1(VA—VB),RF/R1为放大器得增益.当R1=R2=0(相当于R1、R2短路),

电压比较器实验

实验报告 课程名称:___模拟电子技术实验____________指导老师:_ ___ _成绩:__________________ 实验名称:________实验类型:_EDA___________同组学生姓名:__ __ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一. 实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二. 实验内容 1 .过零电压比较器 2 .单门限电压比较器 3 .滞回电压比较器 4 .窗口电压比较器 5 .三态电压比较器 三.实验原理 比较器的输出结构 集电极开路输出比较器 集电极/发射极开路输出比较器

漏极开路输出比较器 推挽式输出比较器 ● 过零电压比较器电路 : 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出 ;反之,当输入电压 时,输出 。 ● 基本单门限比较器电路 单门限比较器的输入信号V in 接比较器的同相输入端,反相输入端接参考电压V ref (门限电平) 。当输入电压V in >V ref 时,输出为高电平V OH ;当输入电压V in

比较器工作原理及应用

电压比较器(以下简称比较器)就是一种常用得集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F 变换电路、 A /D 变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用得电压比较器。 什么就是电压比较器 简单地说,电压比较器就是对两个模拟电压比较其大小(也有两个数字电压比较得,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)就是比较器,它有两个输入端:同相输入端(“ + ” 端)及反相输入端(“一”端),有一个输出端Vou t (输出电平信号)。另外有电源V+ 及地(这就是个单电源比较器),同相端输入电压VA,反相端输入VB。V A与VB得变化如图1(b )所示。在时间0~ t 1时,V A > V B ;在上1?t 2时,V B > VA ;在上2~t3时,V A> VB。在这种情况下,Vo u t得输出如图1 (c)所示:V A>VB 时,Vou t输出高电平(饱与输出);V B >V A时,V o u t输出低电平。根据输出电平得高低便可知道哪个电压大.

如果把V A 输入到反相端,V E 输入到同相端,VA 及V B 得电压变化仍然如图1(b)所示则Vout 输出如图1(d )所示.与图 1 (c )比较,其输出电平倒了一下。输出电平变化与 VA 、VE 得输入 端有关。 图2⑻就是双电源(正负电源)供电得比较器?如果它得 VA 、VB 输入电压如图1 (b )那样,它得输出特性如图2(b)所示。VB > V A 时,Vou t 输出饱与负电压。 国1 ■KT \ I V 咚庄

电压比较器原理及使用

实验十电压比较器的安装与测试 一.实验目的 1.了解电压比较器的工作原理。 2.安装和测试四种典型的比较器电路:过零比较器、电平检测器、滞回比较器和窗口比较器。 二.预习要求 1.预习过零比较器、电平检测器、滞回比较器和窗口比较器的工作原理。 2.预习使用示波器测量信号波形和电压传输特性的方法。 三.实验原理 电压比较器的基本功能是能对两个输入电压的大小进行比较,判断出其中那一个比较大。比较的结果用输出电压的高和低来表示。电压比较器可以采用专用的集成比较器,也可以采用运算放大器组成。由集成运算放大器组成的比较器,其输出电平在最大输出电压的正极限值和负极限值之间摆动,当要和数字电路相连接时,必须增添附加电路,对它的输出电压采取箝位措施,使它的高低输出电平,满足数字电路逻辑电平的要求。 下面讨论几种常见的比较器电路。 基本过零比较器(零电平比较器) 过零比较器主要用来将输入信号与零电位进行比较,+15V 以决定输出电压的极性。电路如图1所示:u i 2 7 放大器接成开环形式,信号u i从反向端输入,同μA7416u o 相端接地。当输入信号u i< 0时,输出电压u o为正极限34 值U OM;由于理想运放的电压增益A u→∞,故当输-15V 入信号由小到大,达到u i = 0 时,即u -= u + 的时刻, 输出电压u o 由正极限值U OM 翻转到负极限值-U OM。图 1 反向输入过零比较器 当u i >0时输出u o为负极限值-U OM。因此,输出翻转的临界条件是u + = u - = 0。 即:+U OM u i< 0 u o = (1) -U OM u i >0 其传输特性如图2(a)所示。所以通过该电路输出的电压值,就可以鉴别输入信号电压u i是大于零还是小于零,即可用做信号电压过零的检测器。

迟滞比较器

迟滞比较器单门限电压比较器虽然有电路简 单、灵敏度高等特点,但其抗干 扰能力差。例如,在单门限电压v中含XX_01中,当比较器的图I有噪声或干扰电压时,其输入和所示,输出电压波形如图XX_01VvV附近出现干扰,由于在==REFthI VvV,导致将时而为,时而为OLOOH比较器输出不稳定。如果用这个v去控制电机,将出现输出电压O频繁的起停现象,这种情况是不允许的。提高抗干扰能力的一种方案是采用迟滞比较器。.电路组成1迟滞比较器是一个具有迟滞回环所示为特性的比较器。图XX_02aXX_01 图反相输入迟滞比较器原理电路,它是在反相输入单门限电压比较 器的基础上引入了正反馈网络,如其传输特性如图XX_02b所示。Vv位置互换,就可组成将与REFI同相输入迟滞比较器。 (a) 2.门限电压的估算 由于比较器中的运放处于开环状态或正反馈状态,因此一般情况vv不下,输出电压与输入电压IO成线性关系,只有在输出电压发生跳变瞬间,集成运放两个输入(b) 端之间的电压才可近似认为等于图XX_02 零,即 (1)或

设运放是理想的并利用叠加原理,则有 (2) word 编辑版. vVVVV和下门限电压的不同值(根据输出电压),可求出上门限电压或TOLOT+–OH分别为 (3) (4) 门限宽度或回差电压为 (5) ,则由式(3)~(5)XX_02a所示,且可求得设电路参数如图 ,和。 3.传输特性 开始讨论。设从,和 vvv增加当由零向正方向增加到接近前,不变。当一直保持IOI

vVvVV下跳到下跳到,到略大于。再增加,,则同时使由POLOHOI v保持不变。O vv不变,将始终保持只有当,则若减小,只要oI V。其传输特性如图XX_02b跳到所示。时,才由OH v的变化而改变的。由以上分析可以看出,迟滞比较器的门限电压是随输出电压o它的灵敏度低一些,但抗干扰能力却大大提高了 (此文档部分内容来源于网络,如有侵权请告知删除,文档可自行编辑修改内容,供参考,感谢您的配合和支持) word 编辑版. word 编辑版.

相关文档
最新文档