译码器和数据选择器 实验报告(有数据)

译码器和数据选择器 实验报告(有数据)
译码器和数据选择器 实验报告(有数据)

实验三译码器和数据选择器

一、实验目的

1、熟悉集成译码器。

2、学习集成译码器和数据选择器的应用。

二、实验仪器及器件

1、双踪示波器

2、实验用元器件①74LS139 2 —4 线译码器1片

②74LS153 双4选1 数据选择器1片

③74LS00 二输入端四与非门1片

三、实验内容及结果分析

1、译码器功能测试

将74LS139 译码器电路按图2.1 接线,参照表2.1 输入电平,测试输出状态并填入表中。

表2.1A

使能选择输出

G B A Y0Y1Y2Y3

1 X X 1 1 1 1

0 0 0 0 1 1 1

0 0 1 1 0 1 1

0 1 0 1 1 0 1

0 1 1 1 1 1 0

表2.1B

V CC=5v V G/V V B/V V A/V V Y0/V V Y1/V V Y2/V V Y3/V

高电平 5.001 5.000 5.003 4.084 4.086 4.390 4.083

低电平0.000 0.001 0.001 0.142 0.139 0.147 0.138

表2.1

使能选择输出

G B A Y0Y1Y2Y3

1 X X 1 1 1 1

0 0 0 0 1 1 1

0 0 1 1 0 1 1

0 1 0 1 1 0 1

0 1 1 1 1 1 0

2、译码器转换

将双2-4线译码器转换为3-8线译码器。

⑴画出转换电路图;

⑵在实验箱上接线并验证设计是否正确;

⑶填写该3-8 线译码器功能表 2.2。

表2.2A

表2.2B

V CC= 5v

输入输出

V1G/v V1B/v V1A/v V2G/v V2B/v V2A/v

V1Y0/

v

V1Y1/

v

V1Y2/

v

V1Y3/

v

V2Y0/

v

V2Y1/

v

V2Y2/

v

V2Y3/

v

高电平 4.994 4.997 4.996 4.997 4.997 4.997 4.137 4.140 4.134 4.137 4.137 4.134 4.130 4.134 低电平0.000 0.001 0.002 0.220 0.001 0.011 0.140 0.137 0.146 0.137 0.144 0.139 0.145 0.137

表2.2

输入输出

1G 1B 1A 2G 2B 2A 1Y01Y11Y21Y32Y02Y12Y22Y3

0 0 0 1 X X 0 1 1 1 1 1 1 1

0 0 1 1 X X 1 0 1 1 1 1 1 1

0 1 0 1 X X 1 1 0 1 1 1 1 1

0 1 1 1 X X 1 1 1 0 1 1 1 1

1 X X 0 0 0 1 1 1 1 0 1 1 1

1 X X 0 0 1 1 1 1 1 1 0 1 1

1 X X 0 1 0 1 1 1 1 1 1 0 1

1 X X 0 1 1 1 1 1 1 1 1 1 0

3、数据选择器的测试及应用

⑴将双4选1数据选择器

74LS153 参照图2.3.2 接线,

输入输出

1G 1B 1A 2G 2B 2A 1Y0 1Y1 1Y2 1Y3 2Y02Y12Y2 2Y3 0 0 0 1 X X 0 1 1 1 1 1 1 1 0 0 1 1 X X 1 0 1 1 1 1 1 1 0 1 0 1 X X 1 1 0 1 1 1 1 1

0 1 1 1 X X 1 1 1 0 1 1 1 1

1 X X 0 0 0 1 1 1 1 0 1 1 1 1 X X 0 0 1 1 1 1 1 1 0 1 1 1 X X 0 1 0 1 1 1 1 1 1 0 1 1 X X 0 1 1 1 1 1 1 1 1 1 0

测试其功能并填写表2.3。

表2.3A

选择端数据输入端输出控制端输出状态B A 1C0 1C1 1C2 1C3 1G 1Y

X X X X X X 1 0

0 0 0 X X X 0 0

0 0 1 X X X 0 1

0 1 X 0 X X 0 0

0 1 X 1 X X 0 1

1 0 X X 0 X 0 0

1 0 X X 1 X 0 1

1 1 X X X 0 0 0

1 1 X X X 1 0 1

表2.3B

V CC= 5v

选择端数据输入端

输出控制

输出状

态V B/v V A/v V1C0/v V1C1/v V1C2/v V1C3/v V1G/v V1Y/v

高电平 4.998 4.998 4.998 4.998 4.998 4.998 4.999 3.782

低电平0.003 0.001 0.001 0.001 0.002 0.001 0.000 0.106

表2.3

选择端数据输入端输出控制端输出状态

B A 1C0 1C1 1C2 1C3 1G 1Y

X X X X X X 1 0

0 0 0 X X X 0 0

0 0 1 X X X 0 1

0 1 X 0 X X 0 0

0 1 X 1 X X 0 1

1 0 X X 0 X 0 0

1 0 X X 1 X 0 1

1 1 X X X 0 0 0

1 1 X X X 1 0 1

⑵将实验箱脉冲信号源中固定连续脉冲 4 个不同频率的信号接到数据选择器 4 个输入端,输出端1Y 接示波器,选择端(A,B)仍按表 2.3 状态改变,分别观察4种不同频率的脉冲信号。

表2.4

选择端输出控制端输出频率

B A 1G F(KHZ)

0 0 0 24.00

0 1 0 47.93

1 0 0 95.82

1 1 0 191.72

4、七段数码管译码电路

向实验箱上的译码器输入端1A~1D,2A~2D 分别输入8421BCD 码,观察1,2两个数码管显示输出的符号。

表2.5

1A 1B 1C 1D 输出符号2A 2B 2C 2D 输出符号

0 0 0 0 0 0 0 0

0 0 0 1 0 0 0 1

0 0 1 0 0 0 1 0

0 0 1 1 0 0 1 1

0 1 0 0 0 1 0 0

0 1 0 1 0 1 0 1

0 1 1 0 0 1 1 0

0 1 1 1 0 1 1 1

1 0 0 0 1 0 0 0

1 0 0 1 1 0 0 1

思考题:

若输入1010~1111 码,数码管会显示什么符号?

因为在8421码中,1010~1111 码的组合是无效的。所以,如果输入1010~1111 码,数码管会什么也不显示。

数据选择器实验报告.docx

谢谢欣赏实验三数据选择器 实验人员:班号:学号: 一、实验目的 (1) 熟悉并掌握数据选择器的功能。 (2) 用双4选1数据选择器74LS153设计出一个16选1的数据选择器。 (3) 用双4选1数据选择器74LS153 设计出一个全加法器。 二、实验设备 数字电路实验箱,74LS00,74LS153。 三、实验内容 (1) 测试双4选1数据选择器74LS153的逻辑功能。 74LS153含有两个4选1数据选择器,其中A0和A1为芯片的公共地址输入端,Vcc 和GND分别为芯片的公共电源端和接地端。Figure1为其管脚图: Figure 1 1Q=A1A01D0+A1A0?1D1+A1A0?1D2+A1A0?1D3 2Q=A1A02D0+A1A0?2D1+A1A0?2D2+A1A0?2D3 按下图连接电路: Figure 2 (2) 设某一导弹发射控制机构有两名司令员A、B和两名操作员C、D,只有当两名司令员均同意发射导弹攻击目标且有操作员操作,则发射导弹F。利用所给的实验仪器设计出一个符合上述要求的16选1数据选择器,并用数字电路实验箱上的小灯和开关组合表达实验结果。 思路: 由于本实验需要有四个地址输入端来选中16个数据输入端的地址之中的一个,进而实现选择该数据输入端中的数据的功能,即16选1。而公共的A0、A1两个地址输入端和S使能端(用于片选,已达到分片工作的目的,进而扩展了一位输入)一共可以提供三个地址输入端,故需要采用降维的方法,将一个地址输入隐藏到一个数据输入端Dx 中。本实验可以降一维,也可以降两位。由于两位比较复杂,本实验选择使用降一维的方式。 做法: 画出如应用题中实现所需功能的卡诺图: 谢谢欣赏

数据选择器实验报告

实验三数据选择器 实验人员:班号:学号: 一、实验目得 (1)熟悉并掌握数据选择器得功能. (2)用双4选1数据选择器74LS153设计出一个16选1得数据选择器。 (3)用双4选1数据选择器74LS153 设计出一个全加法器。 二、实验设备 数字电路实验箱,74LS00,74LS153. 三、实验内容 (1)测试双4选1数据选择器74LS153得逻辑功能。 74LS153含有两个4选1数据选择器,其中与为芯片得公共地址输入端,与分别为芯片得公共电源端与接地端.Figure1为其管脚图: Figure 错误!未定义书签。 ?按下图连接电路: Figure 错误!未定义书签。 (2) 设某一导弹发射控制机构有两名司令员A、B与两名操作员C、D,只有当两名司令员均同意发射导弹攻击目标且有操作员操作,则发射导弹F.利用所给得实验仪器设计出一个符合上述要求得16选1数据选择器,并用数字电路实验箱上得小灯与开关组合表达实验结果。 思路: 由于本实验需要有四个地址输入端来选中16个数据输入端得地址之中得一个,进而实现选择该数据输入端中得数据得功能,即16选1。而公共得、两

个地址输入端与使能端(用于片选,已达到分片工作得目得,进而扩展了一位输入)一共可以提供三个地址输入端,故需要采用降维得方法,将一个地址输入隐藏到一个数据输入端 中。本实验可以降一维,也可以降两位。由于两位比较复杂,本 实验选择使用降一维得方式。 做法: ?画出如应用题中实现所需功能得卡诺图: 00 01 11 10 00 01 0 0 0 0 0 0 1 0 11 0 0 1 0 10 1 : 00 01 11 10 0 1 0 0 D 0 0 1 ,“1”表示高电平,“0”表低电平,均由开关上下拨动来控制;A 、B、C 、D分别为题中得两个司令员得同意情况与两个操作员得操作情况;F 为导弹发射情况,将F接到小灯上即可。电路如Figu re 1所示(图中 即 ,后面得图均为如此): Figure 3 AB CD C AB

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

数据选择器实验报告

实验三 数据选择器 实验人员: 班号: 学号: 一、实验目的 (1) 熟悉并掌握数据选择器的功能。 (2) 用双4选1数据选择器74LS153设计出一个16选1的数据选择器。 (3) 用双4选1数据选择器74LS153 设计出一个全加法器。 二、实验设备 数字电路实验箱,74LS00,74LS153。 三、实验内容 (1) 测试双4选1数据选择器74LS153的逻辑功能。 74LS153含有两个4选1数据选择器,其中A 0和A 1为芯片的公共地址输入端,V cc 和GND 分别为芯片的公共电源端和接地端。Figure1为其管脚图: Figure 1 1Q =A 1A 0???????1D 0+A 1???A 0?1D 1+A 1A 0????1D 2+A 1A 0?1D 3 2Q =A 1A 0???????2D 0+A 1???A 0?2D 1+A 1A 0????2D 2+A 1A 0?2D 3 按下图连接电路: Figure 2

(2) 设某一导弹发射控制机构有两名司令员A、B和两名操作员C、D,只有当两名司令员均同意发射导弹攻击目标且有操作员操作,则发射导弹F。利用所给的实验仪器设计出一个符合上述要求的16选1数据选择器,并用数字电路实验箱上的小灯和开关组合表达实验结果。 思路: 由于本实验需要有四个地址输入端来选中16个数据输入端的地址之中的一个,进而实现选择该数据输入端中的数据的功能,即16选1。而公共的A0、A1两个地址输入端和S使能端(用于片选,已达到分片工作的目的,进而扩展了一位输入)一共可以提供三个地址输入端,故需要采用降维的方法,将一个地址输入隐藏到一个数据输入端D x中。本实验可以降一维,也可以降两位。由于两位比较复杂,本实验选择使用降一维的方式。 做法: 画出如应用题中实现所需功能的卡诺图: 将D降到数据输入端中。对应的卡诺图如下: 按上述卡诺图连接电路,用开关控制送给各输入高低电平。其中,“1”表示高电平,“0”表低电平,均由开关上下拨动来控制;A、B、C、D分别为题中的两个司令员的同意情况和两个操作员的操作情况;F为导弹发射情况,将F接到小灯上即可。电路如Figure 3所示(图中C x即D x,后面的图均为如此):

:数据选择器设计(实验报告)

实验报告 一、实验目的 熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。 二、实验设备 GW48系列SOPC/EDA实验开发系统实验箱一台计算机一台 三、实验内容 1首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出下图所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。 源程序为: ENTITY mux21a IS PORT ( a, b, s: IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = '0' THEN y <= a ; ELSE y <= b ; END IF; END PROCESS; END ARCHITECTURE one ;

图(1 ) 2选1多路选择器的编译图 、图(2) 功能仿真的波形图 图(3 ) 功能引脚图

图(4) 2选1多路选择器的RTL电路 2. 将此多路选择器看成是一个元件mux21a,利用元件例化语句描述图,并将此文件放在同一目录中。并对上例分别进行编译、综合、仿真,并对其仿真波形做出分析说明。以下是程序: 图(5) 双二选一多路选择器的编译图

图(6) 双路数据选择器功能仿真图 图(7) 双二选一多路选择器的引脚锁定图图 5 双2选1多路选择器 图(8) 双路数据选择器RTL电路图

图(9) 编程下载图 3.、引脚锁定以及硬件下载测试。若选择目标器件是EP1C3,建议选实验电路模式5,用键1(PIO0,引脚号为1)控制s0;用键2(PIO1,引脚号为2)控制s1;a3、a2 和a1 分别接clock5(引脚号为16)、clock0(引脚号为93)和clock2(引脚号为17);输出信号outy仍接扬声器spker(引脚号为129)。通过短路帽选择clock0接256Hz信号,clock5 接1024Hz,clock2 接8Hz 信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制s0、s1,可使扬声器输出不同音调)。 图(10) 实验电路模式5

数字电路实验报告——数据选择器

第八次实验报告 实验六 数据选择器 一、实验目的要求 1、 熟悉中规模集成电路数据选择器的工作原理与逻辑功能 2、 掌握数据选择器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、T4153、CC4011 三、实验线路、原理框图 (一)数据选择器的基本原理 数据选择器是常用的组合逻辑部件之一,它有若干个输入端,若干个控制输入端及一个输出端。 数据选择器的地址变量一般的选择方式是: (1) 选用逻辑表达式各乘积项中出现次数最多的变量(包括原变量与反变量),以简 化数据输入端的附加电路。 (2) 选择一组具有一定物理意义的量。 (二)T4153的逻辑符号、逻辑功能及管脚排列图 (1)T4153是一个双4选1数据选择器,其逻辑符号如图1: 图1 (2) T4153的功能表如下表 其中D0、D1、D2、D3为4个数据输入端;Y 为输出端;S 是使能端,在S 是使能端,在 原SJ 符号

S =0时使能,在S =1时Y=0;A1、A0是器件中两个选择器公用的地址输入端。该器件的 逻辑表达式为: Y=S (1A 0A 0D +101D A A +201D A A +301A A A ) (3) T4153的管脚排列图如图2 图2 (三)利用T4153四选一数据选择器设计一个一位二进制全减器的实验原理和实验线路 (1)一位二进制全减器的逻辑功能表见下表: n D =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C n C =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C =n A n B 1-n C +n A n B +n A n B 1-n C (3)根据全减器的逻辑功能表设计出的实验线路图为图3: S 11D 3 1D 2 1D 1 1D 0 1Y

译码器和数据选择器实验报告

译码器和数据选择器 12级电子信息工程20121060192 朱加熊 实验目的 1、熟悉集成译码器和数据选择器。 2、掌握集成译码器和数据选择器的应用。 3、学习组合逻辑电路的设计。 实验仪器及材料 1、双踪示波器 2、器件: 74LS00 二输入端四“与非”门1片 74LS20 四输入端双“与非”门1片 74LS139 双2-4先译码器1片 74LS153 双4选1数据选择器1片 实验内容 1、译码器逻辑功能测试 将74LS139译码器按图3.1接线,按表3.1分别置位输入电平,填输出状态表。

仿真结果Y0 Y1

Y2 Y3

2、译码器转换 将双2-4线译码器转换为3-8译码器。 (1)、画出转换电路图。 (2)、在试验箱上接线并验证设计是否正确。 (3)、设计并填写该3-8线译码器逻辑功能表,画出输入、输出波形。 电路图

逻辑功能表 注:表中Y=Yi 表示Yi=0,其余输 出值为1 3、数据选择器的测试及应 用 (1)、将双4选1数据选择器74LS153参照图3.2接线,测试其逻辑功能并填写功能表3.2. A B C Y 0 0 0 Y0 0 1 Y1 0 1 0 Y 2 0 1 1 Y 3 1 0 0 Y 4 1 0 1 Y 5 1 1 0 Y 6 1 1 1 Y7

(2)、将试验箱上4个不同频率的脉冲信号接到数据选择器4个输入端,将选择端置位,使输入端分别观察到4种不同频率的脉冲信号。 (3)、分析上述实验结果并总结数据选择器的作用。 逻辑功能表 输出控制选择端数据输入端输出 E A1 A2 D3 D2 D1 D0Y H X X X X X X L L L L X X X L L L L L X X X H H L L H X X L X L L L H X X H X H L H L X L X X L L H L X H X X H

数据选择器_Mutisim仿真实验报告

电气工程学院电工电子教学基地 数字电子技术实验 实验报告 仿真实验1 用数据选择器设计函数发生器 学号:18291035 姓名:陈涟漪 班级:电气1802 成绩: 指导教师:周晖 完成时间:2020年5 月15 日

数字电子技术实验 仿真实验1 用数据选择器设计函数发生器 1 实验任务 使用数据选择器74LS151和适当门电路设计一函数发生器,能够实现4位二进制码数据范围指示功能。要求该函数发生器能区别以下三种情况: (1)0≤X≤4; (2)5≤X≤9; (3)10≤X≤15。 2 实验电路

3 实验步骤 采用A、B、C、D取0或1依次表示这四位二进制码的从高到低位的取值(例如:A=0,B=1,C=0,D=0表示四位二进制码0100)。则对于第一组来说,共有5个四位二进制码包含在其中,用卡诺图表示如下: 化简即得: 同理,也有5个数包含在第二组中,卡诺图如下: 化简即得: 第三组包含了6个数,卡诺图如下:

化简即得: 对以上三个式子都去两次非并利用摩根定律可得: 这样就完成了该问题的逻辑转化。 根据前面对该实验分析所得到的逻辑表达式可以发现,输入变量为A、B、C、D,但是在后面的逻辑运算中它们的“非”都用到了,也就是第一步我们要得到这四个变量的非。然后再进行后面的与非运算。三个输出变量的状态也可以用三个灯泡来表示,这里采用了三个颜色不同的灯泡用以区分。到这里,逻辑图就可以很容易的用Multisim软件模拟出来。 其中,最上面的X1灯泡亮时,表示输入数字在0≤X≤4范围内,X2亮时表示输入数字在5≤X≤9范围内,X3亮时表示输入数字在10≤X≤15范围内。这里还是用了四个开关,每个开关“开”表示1,“关”表示0,四个开关以ABCD的顺序来表示四位二进制数。四位二进制数同上,也有16中情况,这里不做一一展示,只对每一类给出一种模拟结果。

实验三译码器及其应用、数据选择器及其应用

实验三译码器及其应用、数据选择器及其应用 一、实验目的 1 ?掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2 ?用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本 方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端S B、S C和S A,当S A=1、 S B= S C =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平?利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1 ?译码器 一个n变量的译码器的输出包含了n变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变 量的全部最小项的译码?参见模拟电子技术基础教材中3线/8线译码器功能表. 用n变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路. 2 ?数据选择器 一个n个地址端的数据选择器, 具有2n个数据选择的功能.例如,数据选择器74LS151, n=3,可完成八选一的功能?参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 丫A2AA0D0 A2AA0D1A 2 Al A o D 2 A? A1A0D 3 A2A A0D 4 A2A A0D 5 A2 A A) A2AA0D7 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1 ?三输入变量译码器功能测试 地址输入端AA1A0是一组三位二进制代码,其中A权最高,A o权最低,按实验电路图3-1接线,将实验结果填入

实验三选数据选择器实验报告

实验三选数据选择器实 验报告 集团公司文件内部编码:(TTT-UUTT-MMYB-URTTY-ITTLTY-

实验三、八选一数据选择器 一、实验目的: 1.熟悉Quartus II6.0软件的使用和FPGA设计流程 2.用VHDL语言进行八选一数据选择器的设计 二、实验步骤: 一.建立文件夹:在D盘“xingming”的文件夹下建立一个名为“choice8”的文件夹。 二.建立新工程 1.双击桌面上Quartus II6.0 的图标,启动该软件。 2.通过File => New Project Wizard… 菜单命令启动新项目向导。在 随后弹出的对话框上点击Next按钮,在 What is the working directory for this project 栏目中设定新项目所使用的路径:D:\xingming\choice8;在 What is the name of this project 栏目中输入新项目的名字:choice8,点击 Next 按钮。在下一个出现的对话框中继续点击Next,跳过这步。 3.为本项目指定目标器件:选择器件系列为ACEX1K ,选择具体器件为 EP1K30TC144-3 1728 24576 ,再点击Next。在弹出的下一对话框中继续点击Next ,最后确认相关设置,点击Finish按钮,完成新项目创建。 三.设计输入 1.建立一个VHDL文件。通过 File => New 菜单命令,在随后弹出的对 话框中选择 VHDL File选项,点击 OK 按钮。通过 File => Save As 命令,将其保存,并加入到项目中。 2.在VHDL界面输入8选1数据选择器程序,然后通过File => Save

实验三8选1数据选择器实验报告

实验三、八选一数据选择器 一、实验目的: 1.熟悉Quartus II6.0软件的使用和FPGA设计流程 2.用VHDL语言进行八选一数据选择器的设计 二、实验步骤: 一.建立文件夹:在D盘“xingming”的文件夹下建立一个名为“choice8” 的文件夹。 二.建立新工程 1.双击桌面上Quartus II6.0 的图标,启动该软件。 2.通过File => New Project Wizard… 菜单命令启动新项目向导。在随后弹 出的对话框上点击Next按钮,在 What is the working directory for this project 栏目中设定新项目所使用的路径:D:\xingming\choice8;在What is the name of this project 栏目中输入新项目的名字:choice8,点击 Next 按钮。在下一个出现的对话框中继续点击Next,跳过这步。 3.为本项目指定目标器件:选择器件系列为ACEX1K ,选择具体器件为 EP1K30TC144-3 1728 24576 ,再点击Next。在弹出的下一对话框中继续点击Next ,最后确认相关设置,点击Finish按钮,完成新项目创建。 三.设计输入 1.建立一个VHDL文件。通过 File => New 菜单命令,在随后弹出的对话框中 选择 VHDL File选项,点击 OK 按钮。通过 File => Save As 命令,将其保存,并加入到项目中。 2.在VHDL界面输入8选1数据选择器程序,然后通过File => Save As 命 令保存。

四.综合适配 1.选择Processing =>Start Compilation命令,检查发现无程序语法错误。 2.执行Tools =>Netlist Viewer =>RTL Viewe, 生成RTL图。 五.模拟仿真 1.在 File 菜单下,点击 New 命令。在随后弹出的对话框中,切换到 Other Files 页。选中 Vector Waveform File 选项,点击 OK 按钮。 2.选择命令 Edit=>End Time ,时间设置为30000ns , 进入到波形编辑界 面。在 Edit 菜单下,点击Insert Node or Bus… 命令,或在结点名字区连续双击鼠标左键两次,在新出现的框图中点击Node Finder出现结点查找器窗口搜索结点名, 在上一个框图中点击 Node Finder… 按钮后,打开Node Finder 对话框。点击 List 按钮,列出电路所有的端子。点击 >> 按钮,全部加入。点击 OK 按钮,确认。 3.回到 Insert Node or Bus 对话框,点击 OK 按钮,确认。 4.编辑输入激励信号波形.选中 a 信号,在 Edit 菜单下,选择 Value => Clock… 命令。在随后弹出的对话框的 Period 栏目中设定参数为10ns,点击 OK 按钮,重复前面的操作,设置输入信号b的参数为20ns ,c信号的参数为30ns,d信号的参数为40ns,e信号的参数为50ns,f信号的参数为60ns,g信号的参数为70ns,h信号的参数为80ns,输入激励信号波形编辑完毕。同样的,s[0]、s[1]、s[2]的参数分别设置为10ns、20ns、30ns。 5.功能仿真:(1)Processing=>Generate Functional Simulation Netlist

译码器和数据选择器

实验四译码器及其应用 一、实验目的 1.掌握中规模集成译码器的逻辑功能和使用方法 2.熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器可分为通用译码器和显示译码器两类。前者又分为变量译码器和代码变换译码器。 1.变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,图4-1(a)、(b)分别为其 逻辑图及引脚排列。其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为 使能端。 (a) (b) 图4-1 3-8线译码器74LS138逻辑图及引脚排列 表4-1为74LS138功能表 当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时, 译码器被禁止,所有输出同时为1。 表4-1

二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图4-2所示。若在S 1输入端输入数据信息,2S =3S =0,地址码所对应的输出是S 1数据信息的反码;若从2S 端输入数据信息,令S1=1、3S =0,地址码所对应的输出就是2S 端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图4-3所示,实现的逻辑函数是 Z =C B A C B A C B A +++ABC 图4-2 作数据分配器 图4-3 实现逻辑函数

实验3-组合逻辑电路数据选择器实验..

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

数电 实验四 数据选择器及其应用 实验报告

实验四数据选择器及其应用 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及使用方法 2.学习用数据选择器构成组合逻辑电路的方法 二、实验设备与器件 1.+5V直流电源 2.逻辑电平开关 3.逻辑电平显示器 4.74LS151 三、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图7-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输们开关和门电路混合而成的。 八选一数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制姨妈,从8个输入数据D0~D7中,选择一个需要的数据送到输出端A, S为使能端,低电平有效。

1)使能端?S=1时,无论A2~A0状态如何,均无输出(Q=0,?Q=1),多路开关被禁止。2)使能端S=0时,多路开关正常工作。根据地址码A1、A2、A3的状态选择D0~D7中某一个通道的数据输送到输出端Q。 此处以A2A1A0=010为例,则选择D2数据到输出端,即Q=D2。 D2为0,?Q亮。D2为1,Q亮。 使能端为1,D2为1,?Q亮。使能端为1,D2变为0,?Q仍然亮。

74LS151功能测试结果表4-1 实现逻辑函数F(AB)=A?B+?AB+A B 设计过程:逻辑表

译码器和数据选择器

实验报告 专业物联网工程年级 2012级姓名 **** 学号 ********** 日期 4.18 实验地点工学院实验室指导教师 ***** 实验三译码器和数据选择器 一、实验目的(宋体、4号字) 1、熟悉集成译码器。 2、学习集成译码器和数据选择器的应用 二、实验仪器(宋体、4号字) 1、双踪示波器 2、实验用元器件 ①74LS139 2 —4 线译码器1片 ②74LS153 双4选1 数据选择器1片 ③74LS00 二输入端四与非门1片 三、实验内容及结果分析(宋体、4号字) 1、译码器功能测试 ⑴将 74LS139 译码器电路按图 2.1 接线,参照表 2.1 输入电平,测试输出状态并填入表中⑵表2.1 使能选择输出 G VG(V) B VB(V) A VA(V) Y 0 VO(V) Y 1 V1(V) Y 2 V2(V) Y 3 V3(V) 1 5.067 X X 1 4.176 1 4.179 1 4.174 1 4.179 0 0 0 0 0 0.005 0 0.311 1 4.178 1 4.175 1 4.180 0 0 0 0 1 5.067 1 4.177 1 4.179 0 0.22 2 1 4.180 0 0.001 1 5.001 0 0.001 1 4.177 0 0.21 3 1 4.175 1 4.179 0 0 1 5.067 1 5.067 1 4.177 1 4.175 1 4.175 0 0.237

⑶实验结果如表格2.1所示,所测结果满足译码器的真值表。再看电压,高电平电压满足工作电压大于4v,低电平满足工作电压小于0.4v。全部符合。 2、译码器转换 将双2-4线译码器转换为3-8线译码器。 ⑴画出转换电路图; ⑵在实验箱上接线并验证设计是否正确; 检查连线正确。 ⑶填写该3-8 线译码器功能表 2.2。

数据选择器实验报告完整版

数据选择器实验报告 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

实验三 数据选择器 实验人员: 班号: 学号: 一、实验目的 (1) 熟悉并掌握数据选择器的功能。 (2) 用双4选1数据选择器74LS153设计出一个16选1的数据选择器。 (3) 用双4选1数据选择器74LS153 设计出一个全加法器。 二、实验设备 数字电路实验箱,74LS00,74LS153。 三、实验内容 (1) 测试双4选1数据选择器74LS153的逻辑功能。 74LS153含有两个4选1数据选择器,其中A 0和A 1为芯片的公共地址输入端,A A c 和GND分别为芯片的公共电源端和接地端。Figure1为其管脚图: Figure 1 1Q =A 1A 0?????????1A 0+A 1????A 01A 1+A 1A 0????1A 2+A 1A 01A 3 2Q =A 1A 0?????????2A 0+A 1????A 02A 1+A 1A 0????2A 2+A 1A 02A 3 按下图连接电路: Figure 2 (2) 设某一导弹发射控制机构有两名司令员A 、B 和两名操作员C 、D ,只有当两名司令员均同意发射导弹攻击目标且有操作员操作,则发射导弹F 。利用所给的实验仪器设计出一个符合上述要求的16选1数据选择器,并用数字电路实验箱上的小灯和开关组合表达实验结果。 思路: 由于本实验需要有四个地址输入端来选中16个数据输入端的地址之中的一个,进而实现选择该数据输入端中的数据的功能,即16选1。而公共的A 0、A 1两个地址输入端和A ???使能端(用于片选,已达到分片工作的目的,进而扩展了一位输入)一共可以提供三个地址输入端,故需要采用降维的方法,将一个地址输入隐藏到一个数据输入端A A 中。本实验可以降一维,也可以降两位。由于两位比较复杂,本实验选择使用降一维的方式。 做法: 画出如应用题中实现所需功能的卡诺图:

数字电子逻辑 译码器和数据选择器 实验报告

福建农林大学计算机与信息学院信息工程类实验报告 系:计算机系专业:计算机科学与技术年级: 07级 姓名:学号:实验课程:数字电子技术基础 实验室号:__ 实验设备号: 9 实验时间: 2008-12-9 指导教师签字:成绩: 实验二译码器和数据选择器 一、实验目的和要求 1、掌握3 -8线译码器逻辑功能和使用方法。 2、掌握数据选择器的逻辑功能和使用方法。 二、实验原理 译码的功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器。译码器在数字系统中有广泛的应用,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。下图表示二进制译码器的一般原理图: 它具有n个输入端,2n个输出端和一个使能输入端。在使能输入端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为非有效电平。每一个输出所代表的函数对应于n个输入变量的最小项。二进制译码器实际上也是负脉冲输出的脉冲分配器,若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称为多路数据分配器)。 1、3-8线译码器74LS138 它有三个地址输入端A、B、C,它们共有8种状态的组合,即可译出8个输出信号Y0~Y7。另外它还有三个使能输入端E1、E2、E3。它的功能表见表2-1,引脚排列见图2-2。

表2-1 74LS138的功能表 注:‘H’表示逻辑高电平;‘L’表示逻辑低电平;‘×’表示逻辑高电平或低电平。 2、数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的功能相当于一个多个输入的单刀多掷开关,其示意图如下: 3、数据选择器74LS151 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可

数字电路实验,数据选择器及其应用 实验报告

实验数据选择器及其应用 一、实验目的 1.了解74LS151(T3151)八选一数据选择器的逻辑功能。 2.学习应用74LS151进行简单逻辑设计。 3.了解74LS151的不同用途。 二、实验设备和器件 1.+5V直流电源2.逻辑电平开关 3.逻辑电平显示器4.74LS151(或CC4512) 74LS153(或CC4539) 三、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。八选一数据选择器74LS151选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,为使能端,低电平有效。 四、实验内容与步骤 1、数据选择器逻辑功能测试 1.1电路图

输入输出 A B C D1Q1Q2 0 0 0 1 0 1 0 0 1 1 1 0 0 1 1 1 0 1 1 0 0 1 0 1 1 0 1 1 0 1 1 1 0 1 0 1 1 1 1 1 0 1 2、三输入多数表决器 2.1 设计过程 由多数表决器的特性,当有两个及以上的1时,数据选择器输出应该为高电平,二极管发光,由此可以设计逻辑电路图。 2.2电路图 2.3实验结果 输入输出 A B C Q 0000 0010 0100 0111 1000 1011 1101 1111

根据仿真结果测试,逻辑电路图正常,能实现上述功能 3、逻辑函数测试 3.1 电路图 3.2 实验结果 1. F=AB+AC; 2. F=ABC+ABC+BC 仿真之后的结果如下: 输入输出 A B C F1 0001 0011 0100 0110 1000 1011 1100 1111 输入输出 A B C F2 0001 0010 0100 0110 1001 1011 1101 1110逻辑函数1、2的测试结果与预计结果相同

数电实验报告 数据选择器及其应用

实验2实验报告数据选择器及其应用 一、实验目的 1、了解组合逻辑电路的设计步骤、分析方法和测试方法; 2、掌握数据选择器的工作原理与逻辑功能; 3、掌握双四选一数据选择器74LS153的应用。 二、实验设备 1、数字电路实验箱 2 、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS153 三、实验内容 1、测试双四选一数据选择器74LS153的逻辑功能; 2、设某一导弹发射控制机构有两名司令员A、B和两名操作员C、D,只有当两名司令员均 同意发射导弹攻击目标且有操作员操作,则发射导弹F; 3、用74LS00与74LS153设计一位全加器。 四、实验结果 1、测试双四选一数据选择器74LS153的逻辑功能。 如图S5和S6分别接A和B,负责输入地址;S1、S2、S3、S4为上面选择器的四个输入;S7、S8、S9、S10为下面选择器的四个输入。 举例说明:如图所示,当S5和S6都输入高电平时,选择输出1C3和2C3的内容,即

S4和S10的输入均为高电平,小灯亮。 2、设某一导弹发射控制机构有两名司令员A、B和两名操作员C、D,只有当两名司令员 均同意发射导弹攻击目标且有操作员操作,则发射导弹F。 由题意可得出逻辑表达式如下: F=AB(C+D) 分析:由于只有A、B都为高电平时F才有可能输出高电平,所以让A和B作为地址输入端。而当A、B均为高电平时,C和D任意一个为高电平则F为高电平。所以用74LS00实现C和D的“或”以后,接数据选择器的C3接口。则可以实现所需功能。 电路图如下: 3、用74LS00和74LS153设计一位全加器。 可以通过降维将输入位A和B作为地址选择位,进位位CI和CI以及0和1作为被选择数据输入,表示S和CO。真值表如下:

译码器及数据选择器的应用

译码器及数据选择器的应用 一、实验目的 1.掌握译码器(74LS138)的逻辑功能和使用方法。 2.掌握数据选择器(74LS151)的逻辑功能和使用方法。 二、实验原理 译码器和数据选择器都属于中规模集成电路,中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本采用逻辑函数对比法。 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,而使用数据选择器实现单输出逻辑函数较方便。 1.译码器 一个n 变量的译码器的输出包含了n 变量的所有最小项.例如,如图5.1.4-1是3线/8线译码器 (74LS138) ,有三个选通端1S 、2S 和3S ,只有当1S =1、2S +3S =0时,译码器才被选通,否则,译码器被禁止,所有的输出端被封锁在高电平。利用选片作用也可以将多片连接起来以扩展译码器的功能。8个输出包含3个变量的全部最小项的译码。表5.1.4-1是3线/8线译码器的功能表。用n 变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n 的组合逻辑电路。 图1 74LS138(3线/8线译码器) 2.数据选择器 一个n 个地址端的数据选择器,具有对2 n 个数据选择的功能。例如,八选一数据选择器(74LS151),如图2所示,n =3,可完成八选一的功能,见表2。由真值表可写出: 7 0126012501240123012201210120012D A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A Y +++++++= 数据选择器又称多开路开关,其功能是在多路并行传输数据中选通一路送到输出线上。

实验三 译码器及其应用、数据选择器及其应用

实验三 译码器及其应用、数据选择器及其应用 一、实验目的 1.掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2.用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端B S 、C S 和A S ,当A S =1、 B S = C S =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平.利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1.译码器 一个n 变量的译码器的输出包含了n 变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变量的全部最小项的译码.参见模拟电子技术基础教材中3线/8线译码器功能表. 用n 变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n 的组合逻辑电路. 2.数据选择器 一个n 个地址端的数据选择器,具有2n 个数据选择的功能.例如,数据选择器74LS151,n=3,可完成八选一的功能.参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 21002101210221032104210521062107Y A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D =+++++++ 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1.三输入变量译码器功能测试 地址输入端A 2A 1A 0是一组三位二进制代码,其中A 2权最高,A 0权最低,按实验电路图3-1接线,将实验结果填入功能表3-1中. 表3-1 74LS138 功能表 图3-1 74LS138电路图 A 2 A 0 A 1 S A Y 0 S B S C 74LS138 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 (L 1) (L 2) (L 3 ) (L 4) (L 6) (L 5) (L 7) (L 8) (K 2) 1 (K 3) (K 1)

相关文档
最新文档