小型通信系统的设计报告

小型通信系统的设计报告
小型通信系统的设计报告

一、课题名称:小型通信系统设计与制作

二、设计目的:通过本课程设计,使学生对通信系统的整体结构及配置有全面的了解。训练学生的动手实践能力,培养学生具体问题的能力。让学生通过本课程设计,熟悉基本通信系统单元的设计方法和工作原理,尤其是调频和解调原理。对学生进行基本技能训练,例如组成系统、调试、查阅资料、绘图、编写说明书等;使学生理论联系实际,提高动手能力和分析问题、解决问题的能力。

三、设计内容

利用通信原理和高频电子线路的相关知识,来完成对输入的语音信号的调频,然后通过解调利用耳机接收该语音信号。

四、设计要求

1、发射机功率P A≥100mW,负载电阻RL=75Ω

2、开阔地传播距离S>100m

3、发射机工作频率f c=88MHz~108MHz

4、调频信号幅度ULm=1V时,最大频偏Δfm=20kHz

5、接收机工作频率f c’=88MHz~108MHz

6、输出平均功率Po=0.25W(负载电阻R8Ω)

7、接收灵敏度γ=10μV

五、设计进度表

序号设计内容所用时间

1 布置任务,查阅资料及调研。4天

2 硬件设计,绘制电路图,生成PCB。6天

3 实际电路调试。2天

4 答辩、撰写设计报告书3天

合计15天

六、设计报告

课程设计报告的基本内容至少包括封面、正文、附录三部分。课程设计报告要求统一格式,字体工整规范。

1、封面

封面包括“《小型通信系统设计与制作》课程设计报告”、班级、姓名、学号以及完成日期等。

2、正文

正文是实践设计报告的主体,具体由以下几部分组成:

(1)课程设计题目;

(2)课程设计任务与要求;

(3)设计过程(包括方案论证、设计原理、创新点以及采用的新技术等);

(4)硬件系统框图与说明;

(5)软件PCB的制作流程与说明;

(6)课程设计总结(包括自己的收获与体会;遇到的问题和解决的方法;技术实现技巧和创新点;作品存在的问题和改进设想等);

3.附录

附录1:电路原理图

附录2:生成PCB图

附录3:使用元器件一览表(序号、名称、型号、规格、数量、备注)

附录4:参考文献

七、考核方式与成绩评定办法

评定项目评分成绩

1、设计方案正确,具有可行性(20分)

2、遵守纪律、不迟到、不旷课(5分)

3、态度认真、学习刻苦(5分)

4、安装调试细致耐心(10分)

5、创新性(10分)

6、设计结果(例如:硬件成果、软件PCB)(20分)

7、课程设计报告:原理清晰,要素齐全,格式规范、

参考文献充分(10分)

8、答辩(20分)

总分(100分)

备注:成绩等级:优(90分~100分)、良(80分~89分)、中(70分~79分)、及格(60分~69分)、60分以下为不及格。

八、参考书目

1、张肃文.《高频电子线路》北京:高等教育出版社,2000.

2、南利平.《通信原理》北京:清华大学出版社,2002.

时间内容

12月5日(星期一)学生分组,下达课程设计任务书

12月6日(星期二)学生查找资料

12月7日(星期三)阅读资料,学习相关知识

12月8日(星期四)阅读资料,学习相关知识

12月9日(星期五)老师讲解、设计举例

12月12日(星期一)学生分模块讲述设计方案(拾音电路设计,音频放大电路设计,正

弦波振荡电路设计)

12月13日(星期二)学生分模块讲述设计方案(调频电路设计,高频谐振功放电路设计,

天线电路设计,高频小信号电路设计,解调电路设计)

12月14日(星期三)在机房画自己设计的原理图,PCB板图,列采购清单,元件、器件

去市场采购

12月15日(星期四)元件质量检测、讲焊接技术

12月16日(星期五)安装、焊接

12月19日(星期一)实物故障诊断、调试

12月20日(星期二)制作实物验收

12月21日(星期三)课程设计答辩

12月22日(星期四)撰写课程设计报告

12月23日(星期五)撰写课程设计报告

教研室主任签名:

年月日

目录

一、课程设计题目 (1)

二、课程设计任务与要求 (1)

三、设计过程 (1)

1、方案论证 (1)

2、方案确定 (2)

3、设计原理 (2)

四、安装与调试 (4)

1、安装 (4)

2、调试 (4)

3、效果 (4)

五、课程设计总结 (5)

六、附录 (6)

附录一:电路原理图 (6)

附录二:PCB图 (7)

附录三:原器件清单 (8)

附录四:实物图 (9)

附录五:参考文献 (9)

一、课程设计题目

小型通信系统设计制作

二、课程设计任务与要求

什么是无线话筒,简单的说,它就是一种通过无线电波或其他的方式传输声

音的设备。电路板上的电子元件话筒先将自然界的声音信号变成音频电信号,这

个电信号会去调制电子振荡器产生高频信号。最后,高频信号通过天线发射到空中。我们将发射频率设计在FM收音机波段,因此可以配合任何收音机接收到该高频信号,并从该高频信号还原出声音信号,从而完成各种用途。

要求:

1,工作电压:1.5V –9V.

2,输出频率80MHz—103MHz(或谐波在90MHz左右);

3,用FM收音机可以可靠收听;

4,发射半径:大于100米 4.5V电压,普通收音机接收,无线话筒天线为50cm 长的细导线

三、设计过程

1.方案论证

方案(一)

此电路由晶体管VT1和VT2、电阻R2、电感L、电容C2和C3等组成,其功能是产生高频载波并进行调制发射。L与C2构成LC谐振回路,该回路具有选频作用,两个晶体管VT1、VT2的集电极与基极互相交叉连接,并与L、C2选频回路组成高频振荡器。经C1耦合过来的音频信号加在VT1集电极(也就是VT2基极),对高频振荡信号进行频率调制,调制后的调频信号经C3耦合至天线辐射出去。发射频率取决于LC谐振回路谐振频率,调节L或C2的大小即可改变发射频率。

此方案电路比较简单,在电路搭接的过程中发现他的抗干扰能力差,特别是有人靠近的时候,漂频现象比较严重。

方案(二)

C8是电源旁路电容。R1是MIC的偏置提供话筒的静态工作点。R1现MIC构成了拾音回路。C1、C2起声音信号的耦合作用。R2、D1、D2组成限幅电路,防止话筒在近距离时输入信号过大而失真严重。R3、R4用于提供Q1的静态工作点。C3、C4、C5、C6、L1、Q1构成振荡、放大。C7将信号耦合到天线。天线则将已经过调制的声音信号发射出,本电路由3V供电,用两只1.5V的电池即可。

方案(三)

MIC先将自然界的声音信号变成音频电信号,经C2耦合给Q的基极进行调制,当有声音信号的时候,三极管的结电容会发生变化→振荡频率发生变化,完成频率调制,即调频。再经C8耦合给高频调谐放大电路对已调制的高频信号放大,再通过C12、L3和天线TX向外发射频率随声音信号变化而变化的高频电磁波。

其中R1为话筒MIC的偏置电阻,一般在2K—5.6K选取。R4为集电极电阻。R5为基极电阻,给Q1提供偏置电流。R6为发射极电阻,起稳定Q1直流工作点

的作用;Q2、R7、R8、C4、C5、L1、C6、C7组成高频振荡电路,R7给Q2基极提供偏流,C5和L1振荡回路,改变其值可以改变发射频率,C4为反馈电容,R8起稳定Q2直流工作点作用,C7隔直流通交流电容;Q3、R9、R10、L2、C10、C11组成高频功率放大电路。R9给功率管Q3提供基极电流,C10和L2放大调谐回路,和振荡回路C5和L1调谐在同一频点时获得最大输出功率,发射距离最远。

2.方案确定

考虑到制作的效果性,所以最终选择方案三,因为其制作出来的效果会好很多,并且比较简单

3.设计原理

(1)音频收集模块

一个无线话筒,则音频信号的收集是必不可少的。本电路中考虑到需要做一个小巧的无线话筒,因而直接采用的是驻极体小话筒MIC,它灵敏度极高。据介绍,甚至手表的嘀嗒的声音也可以被它收集到。话筒采集到的交流声音信号通过C2耦合和R2匹配后送到三极管的基极。另外,驻极体话筒内实际藏有一枚FET,可视之为一级,FET将话筒前振膜之电容变化放大,这就是驻极体话筒很灵敏的原因。

MIC

图1 音频收集模块

(2) 音频放大模块

这个模块是对所收集到的音频信号进行无失真地放大,为下面的调制做准备。因为在自然环境中,由于诸多因素,所收集到的声音(即音频信号)都经过了很多的干扰,因此其所携带的能量都是很微弱的,为了使其能够正常的进入调制模块来与本振进行调制,需要将其音频信号来进行适当的放大来达到相关匹配。另一方面,这个无线话筒也是一个调频发射机,发出的信号又要经过大自然的无数干扰才会得到接收,若原始信号的能量就不够强烈,那么接收端的信号就无从谈起了。所以只有对其原始的音频信号进行充分放大,达到相应要求之后,

再发射出去。接收端才能够正常进行解调恢复原始的音频信号。这里的音频放大模块采取的是基本的三极管甲类的放大。R2=10kΩ是三极管的基极偏置电阻,给三极管提供电流,使其三极管始终工作在甲类无失真的放大状态,达到最好的放大效果。R1=30OΩ是直流反馈电阻,是稳定三极管的工作状态。

AC

R2

10kΩ

C7

10nF

C6

100nF

R3

2.2kΩ

C9018

1Vpk

1kHz

R1

300Ω图2 音频放大模块

(3)载波振荡模块

一个调频信号发射机,载波振荡(即俗称本振)模块更是必不可少的。根据电磁场理论可以知道,通过天线发射的信号需要与天线匹配,即天线的长度要大于信号波长的四分之一。而音频信号的频带是20Hz至20kHz,对应的波长范围是15至15000km。制造出巨大的天线是不合适的,所以我们需要一个高频载波来将我们的音频信息“装载”上去,再进行发送。基于这样的理论基础,我设计的是高频三极管与C2、C3、C5所构成的一个电容三点式振荡器。通过调整L的数值(拉伸或者压缩线圈L)可以方便地改变发射频率

R210k Ω

R1300Ω

C330pF

L184.52nH

C7102

C530pF

C230pF

C9018

图3 载波震荡模块

(4) 直接调制模块

将已经放大的音频相关信号和载波振荡产生的高频载波信号进行叠加,发射信号通过C4耦合到天线上再发射出去。这种调频话筒的调频原理是通过改变三极管的基极和发射极之间电容来实现调频的,当声音电压信号加到三极管的基极上时,三极管的基极和发射极之间电容会随着声音电压信号大小发生同步的变化,同时使三极管的发射频率发生变化,实现频率调制。

C530pF

L184.52nH

C610pF

图4 直接调制模块

四、安装与调试

1.安装

此次设计的实物用到的元件比较少,使用的是万用印刷电路板,焊接之前设计了一套元件插接方案,所以焊接的时候直接按照图示搭接方案直接焊接所以很顺利完成。

2.调试

把FM收音机的电源和音量打开,将频率调在100MHz左右无电台的地方。给无线话筒电路板通上电源,对准收音机,用无感螺丝刀调节振荡线圈L1的稀疏(线圈匝间距离),直到收音机传出尖叫声。再慢慢移开话筒和收音机距离,同时适当调节收音机(或者话筒板)的音量、调谐旋钮,直到声音最清晰、距离又最远为止。

3.实验效果

发现在105.2MHz的时候效果最好,发射距离在无障碍物时达到30m。

五、课程设计心得

通过此次课程设计,使我更加扎实的掌握了有关高频电子线路方面的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。

过而能改,善莫大焉。在课程设计过程中,我们不断发现错误,不断改正,不断领悟,不断获取。最终的检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。这次课程设计终于顺利完成了,在设计中遇到了很多问题,最后在老师的指导下,终于游逆而解。在今后社会的发展和学习实践过程中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,然后一一进行解决,只有这样,才能成功的做成想做的事,才能在今后的道路上劈荆斩棘,而不是知难而退,那样永远不可能收获成功,收获喜悦,也永远不可能得到社会及他人对你的认可!

课程设计诚然是一门专业课,给我很多专业知识以及专业技能上的提升,同时又是一门讲道课,一门辩思课,给了我许多道,给了我很多思,给了我莫大的空间。同时,设计让我感触很深。使我对抽象的理论有了具体的认识。通过这次课程设计,我掌握了常用元件的识别和测试;熟悉了常用仪器、仪表;了解了电路的连线方法;以及如何提高电路的性能等等,掌握了焊接的方法和技术,通过查询资料,也了解了收音机的构造及原理。

回顾起此课程设计,至今我仍感慨颇多,从理论到实践,在这段日子里,可以说得是苦多于甜,但是可以学到很多很多的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,但可喜的是最终都得到了解决。实验过程中,也对团队精神的进行了考察,让我们在合作起来更加默契,在成功后一起体会喜悦的心情。果然是团结就是力量,只有互相之间默契融洽的配合才能换来最终完美的结果。

此次设计也让我明白了思路即出路,有什么不懂不明白的地方要及时请教或上网查询,只要认真钻研,动脑思考,动手实践,就没有弄不懂的知识,收获颇丰

六、附录

附录一:电路原理图

附录二:PCB图

附录三:元器件清单

元器件及位号清单

位号名称型号及规格数量

R1,R4,R8

电阻1/6W±5% 2.2K 3

R2,R3,R6,R10 33Ω 4 R5 1M 1 R7,R9 22K 2

C1,C2,C3,C13

瓷片电容104 4

C4,C11 681 2 C5,C7,C10,C12 30 4 C6,C8 10 2 C9,C15,C16 103 3 C14 电解电容33U 1

Q1

三极管9014 1

Q2,Q3 9018 2 L1 4.5T 1 L2,L3 5.5T 2 W1 可调电阻470K 1

插针2针 1 3针 1

天线50cm导线 1 话筒 1 电路板 1

附录四实物图

附录五:参考文献

1.铃木宪治,高频电路设计与制作,北京:科学技术出版社,2005.4,第一版。

2.谢自美,电子线路设计实验测试,武汉:华中科技大学,2000.7,第二版。

3.李银花,电子线路设计指导,北京:航空航天大学出版社,2005.6,第一版。

4.朱力恒,电子技术仿真实验教程,北京:电子工业出版社,2003.7,第一版。

5.全国大学生电子设计大赛获奖作品精选,北京理工大学出版社。

6.王志纲,现代电子线路(下册),北京:清华大学出版社,2003.4,第一版。

7.张肃文.《高频电子线路》北京:高等教育出版社,2000.

8.南利平.《通信原理》北京:清华大学出版社,2002.

通信系统综合设计报告——光照强度监测系统设计

目录 第一章概述 (2) 第一节课题背景与意义 (2) 第二节课题设计要求与指标 (2) 第二章系统方案选择与确定 (3) 第一节硬件系统方案选择 (3) 一、光照采集模块方案选择 (3) 二、无线传输模块方案选择 (3) 三、 LCD显示模块方案选择 (4) 四、 MCU模块方案选择 (4) 第二节软件系统方案选择 (4) 第三章系统硬件设计与实现 (6) 第一节采集端硬件设计 (6) 一、光照采集模块设计 (7) 二、ATmega16L最小系统模块设计 (8) 三、无线传输模块设计 (9) 第二节终端硬件设计 (10) 一、LCD显示模块设计 (11) 二、变压电路设计 (12) 第四章系统软件设计与实现 (13) 第一节程序整体设计 (13) 第二节光照采集与AD转换程序设计 (13) 第三节无线传输程序设计 (14) 第四节LCD显示程序设计 (16) 第五节程序下载 (17) 第四章测试结果及讨论 (18) 第一节LCD显示测试 (18) 第二节光照采集与显示测试 (19) 心得体会 (21) 参考文献 (22) 附录 (23) 一、器件清单 (23) 二、工具清单 (23) 三、实物图 (24) 四、程序代码 (24)

第一章概述 第一节课题背景与意义 在现代农业和工业领域,经常需要对一些环境参数进行监测,以做出相应处理,确保设备和系统运行在最佳状态。随着科技的发展,对环境参数监测系统的要求也越来越高;因此基于传感器、单片机和无线通信芯片设计出一种无线环境参数监测系统十分的重要。 光照强度是一个重要的环境参数,在工业和农业领域有着重要的应用,本课程设计介绍一种可以应用在许多领域的无线光照强度监测系统,实现对环境中的光照强度进行实时采集处理、无线传输与显示的功能。 本文的主要研究工作集中在光照强度监测系统的设计上,通过C语言编程对单片机进行控制,使单片机控制光照采集传感器、无线通信芯片和LCD,实现系统功能。在本课题的基础上可以设计完成一个高速、方便、稳定的环境数据监测采集和传输系统,可以广泛应用于现代农业和工业领域。 第二节课题设计要求与指标 本系统以环境光照强度为研究对象,应满足的要求与指标为: 1、监测点光照强度测量精确,精度大于0.1lux; 2、将监测点的参数数据以无线方式发送至汇节点,并LCD显示,要求分立元件实现的无线传输距离大于20cm,无线传输模块实现的传输距离大于1km; 3、无线传输设备具有较强的抗干扰能力; 4、设备具有较高的实时性; 5、设备功耗功耗较低。

通讯录管理系统课程设计报告完整版

通讯录管理系统课程设 计报告 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

设计课题题目 一、课程设计目的与要求 1.课程设计目的 (1)综合运用之前所学知识(选择控制,循环控制,数组,函数,指针,结构体和文件等)来完成一个简单的信息管理程序的设计。 (2)充分体现和体会函数在程序设计中的必要性和实用性,并反映主函数main ()在程序设计中的实现思路和方法。 2. 课程设计要求 制作一个通讯录系统。 (1)该程序具有查找、添加、修改、删除功能。 (2)通讯录包括:姓名、电话、街道、城市、省、邮编等。 二、总体设计 根据系统的要求,系统总体设计如图1所示。 1

printf("\t--------------------\n"); printf("\t请您选择(0-7):"); scanf("%d",&c); }while(c>7&&c<0); return(c); } int Input(struct date per[10],int n) { int i=0; char sign,x[10]; while(sign!='n'&&sign!='N') { printf("\t姓名:"); scanf("%s",per[n+i].name); printf("\t电话号码:"); scanf("%s",per[n+i].phone); printf("\t电子邮箱:"); scanf("%s",per[n+i].email); printf("\tQQ:"); scanf("%s",per[n+i].QQ); gets(x); printf("\n\t是否继续添加?(Y/N)"); fflush(stdin); ame,per[i-1].phone,per[i-1].QQ,per[i-1].email); if(i>1&&i%10==0) { printf("\t-----------------------------------\n"); printf("\t"); system("pause"); printf("\t-----------------------------------\n"); } } printf("----------------------------------------------------------------------\n"); system("pause"); } int Delete_a_record(struct date per[10],int n) { char s[20]; int i=0,j; printf("\t请输入想删除记录中的名字:"); scanf("%s",s); while(strcmp(per[i].name,s)!=0&&i

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

网络程序设计实验报告-Winsock编程接口实验

网络程序设计 实验报告 实验名称: Winsock编程接口实验 实验类型:____验证型实验_____ __ 指导教师:______________________ 专业班级:_____________________ 姓名:_______________________ 学号:_____________________ 电子邮件:____________ 实验地点:______ _______ 实验日期2013 年 3 月29 日 实验成绩:__________________________

一、实验目的 ●掌握Winsock的启动和初始化; ●掌握gethostname(),gethostbyname(),GetAdaptersInfo()等信息查询函数的使用。 二、实验设计 由实验内容可以知道: 1、编写程序能同时实现对多个域名的解析。比如在控制台输入:getip https://www.360docs.net/doc/4f4162574.html, https://www.360docs.net/doc/4f4162574.html,,能输出https://www.360docs.net/doc/4f4162574.html,和https://www.360docs.net/doc/4f4162574.html,对应的IP地址列表。 2、编写程序获取并输出本地主机的所有适配器的IP地址,子网掩码,默认网关,MAC 地址。 首先要了解一些基本的知识gethostname(),gethostbyname(),GetAdaptersInfo()等信息查询函数的基本知识gethostbyname()返回对应于给定主机名的包含主机名字和地址信息的hostent结构指针。结构的声明与gethostaddr()中一致。 之后要根据内容画出函数流程图

三、实验过程(包含实验结果) 1.在实验过程中调用GetAdaptersInfo()时,出现了undeclared identifier的报错,原因是没有包含其头文件,之后进行一些修改解决了问题. 2.实验结果 3.选择查看本机信息 四、讨论与分析 1.Winsock初始化的作用是什么? 答:使用winsock初始化可加载winsock编程的动态链接库。

通信系统课程设计

课程设计任务书 学生姓名:周全专业班级:信息sy0901 指导教师:刘新华工作单位:信息工程学院 题目:通信系统课群综合训练与设计 初始条件:MA TLAB 软件,电脑,通信原理知识 要求完成的主要任务: 1、利用仿真软件(如Matlab或SystemView),或硬件实验系统平台上设计 完成一个典型的通信系统 2、学生要完成整个系统各环节以及整个系统的仿真,最终在接收端或者精 确或者近似地再现输入(信源),计算失真度,并且分析原因。 时间安排: 指导教师签名: 2013 年 1 月 1 1日 系主任(或责任教师)签名: 2013 年 1 月 11 日

目录 摘要 (2) Abstract (3) 1设计任务 (4) 2实验原理分析 (5) 2.1 PCM原理介绍 (5) 2.1.1 抽样(Sampling) (5) 2.1.2 量化(quantizing) (5) 3. 基带传输HDB3码 (12) 4.信道传输码汉明码 (14) 5.PSK调制解调原理 (15) 6. AWGN(加性高斯白噪声) (18) 7.仿真结果 (19) 8.心得体会 (23) 9.参考文献 (24) 附录 (25)

摘要 通信系统是一个十分复杂的系统,在具体实现上有多种多样的方法,但总的过程却是具有共性的。对于一个模拟信号数字化传输,过程可分为数字化,信源编解码,信道编解码,调制解调,加扰等。本实验利用MATLAB实现了PCM编码,HDB3码,汉明码,psk调制,AWGN及对应的解调过程,完整实现了一个通信系统的全部过程。MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。 关键字:通信系统,调制,解调,matlab

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

网络编程实验报告

实验一TCP Socket API程序设计 一、预备知识 1.网络编程基本概念 网络上的计算机间的通讯,实质上是网络中不同主机上的程序之间的通讯。在互联网中使用IP地址来标识不同的主机,在网络协议中使用端口号来标识主机上不同进程,即使用(IP地址,端口号)二元组。 套接字(Socket)用于描述IP地址和端口,是一个通信链的句柄,通信时一个网络程序将要传输的一段信息写入它所在主机的Socket中,该Socket通过与网络接口卡相连的传输介质将这段信息发送到另一台主机的Socket中,以供其他程序使用。 图1-1 TCP通信流程 2.TCP通信流程 TCP程序是面向连接的,程序运行后,服务器一直处于监听状态,客户端与

服务器通信之前必须首先发起连接请求,由服务器接收请求并在双方之间建立连接后才可以互相通信。 二、实验目的 1.了解Winsock API编程原理; 2.掌握TCP Socket程序的编写; 3.了解C/S模式的特点; 4.学会解决实验中遇到的问题。 三、实验任务 使用Winsock API相关类实现TCP Socket通信程序,并能成功运行。 四、实验环境及工具 1. Windows2000/XP/7 2. Visual C++开发平台 3. Visual Studio2010 五、实验内容和步骤 参照《Visual C++网络编程教程》书中81页,TCP Socket API程序设计。 连接: void CChatClientDlg::OnConnect() { WSADATA wsd; //WSADATA结构 WSAStartup(MAKEWORD(2,2),&wsd); //加载协议,使用Winsock 2.2版 m_client = socket(AF_INET,SOCK_STREAM,0); //创建流式套接字 //服务器地址 sockaddr_in serveraddr; UpdateData(); if(ServerIP.IsBlank()) { AfxMessageBox("请指定服务器IP!"); return; } if(sPort.IsEmpty()) { AfxMessageBox("请指定端口!"); return; }

即时通讯系统的设计与实现毕业设计论文

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

通信系统设计报告

通信系统课程设计报告 题目:模拟线性调制系统的 建模、设计与计算机仿真分析 学院xx 专业班级xx 学生姓名xx 学生学号xx 提交日期 2015.6.28

目录 1 设计目的 (2) 2 设计要求和设计指标 (2) 3 设计内容 (3) 3.1线性调制的一般原理 (3) 3.2常规双边带调制AM (4) 3.2.1 AM调制工作原理 (4) 3.2.2 AM调制解调仿真电路 (5) 3.2.3 AM调制解调仿真结果与分析 (5) 3.3双边带调制DSB (9) 3.3.1 DSB调制解调工作原理 (9) 3.3.2 DSB调制解调仿真电路 (9) 3.3.3 DSB调制解调仿真结果与分析 (10) 3.4单边带调制SSB (14) 3.4.1 SSB调制解调工作原理 (14) 3.4.2 SSB调制解调仿真电路 (15) 3.4.3 SSB调制解调仿真结果与分析 (16) 4 本设计改进建议 (19) 5 总结 (19)

参考文献 (20) 2 设计目的 (1)使学生掌握系统各功能模块的基本工作原理; (2)培养学生掌握电路设计的基本思路和方法; (3)能提高学生对所学理论知识的理解能力; (4)能提高和挖掘学生对所学知识的实际应用能力即创新能力; (5)提高学生的科技论文写作能力。 2 设计要求和设计指标 (1)学习SystemView仿真软件; (2)对需要仿真的通信系统各功能模块的工作原理进行分析; (3)提出系统的设计方案,选用合适的模块; (4)对所设计系统进行仿真; (5)并对仿真结果进行分析。

3 设计内容 3.1 线性调制的一般原理 模拟调制系统可分为线性调制和非线性调制,本课程设计只研究线性调制系统的设计与仿真。线性调制系统中,常用的方法有AM 调制,DSB 调制,SSB 调制。 线性调制的一般原理: 载波:)cos()(0?ω+=t A t s c 调制信号:)cos()()(0?ω+=t t Am t s c m 式中()t m —基带信号。 线性调制器的一般模型如图3-1 在该模型中,适当选择带通滤波器的冲击响应()t h ,便可以得到各种线性调制信号。 线性解调器的一般模型如图3-2。 图3-2线性解调系统的一般模型 其中()t s m —已调信号,()t n —信道加性高斯白噪声。

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

通信系统课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 通信系统课群综合训练与设计 初始条件:MATLAB 软件,电脑,通信原理知识 要求完成的主要任务: 1、利用仿真软件(如Matlab或SystemView),或硬件实验系统平台上设计完 成一个典型的通信系统 2、学生要完成整个系统各环节以及整个系统的仿真,最终在接收端或者精确或 者近似地再现输入(信源),计算失真度,并且分析原因。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstract (4) 1.引言 (1) 1.1通信系统简介 (1) 1.2 Matlab简介 (1) 2.系统设计 (2) 2.1通信系统原理 (2) 2.2 系统整体设计 (3) 3.子系统设计 (4) 3.1脉冲编码调制(PCM) (4) 3.1.1抽样(Samping) (5) 3.1.2量化(Quantizing) (5) 3.1.3编码(Coding) (6) 3.2 Manchester码编解码 (7) 3.2.1曼切斯特编码原理 (8) 3.2.2曼切斯特解码原理 (8) 3.3循环码编解码 (9) 3.3.1循环码编码原理 (10) 3.3.2循环码解码原理 (11) 3.3.3纠错能力 (11)

3.4 ASK调制与解调 (12) 3.5 衰落信道 (13) 4软件设计及结果分析 (14) 4.1 编程工具的选择 (14) 4.2 软件设计方案 (14) 4.3 编码与调试 (15) 4.4 运行结果及分析 (16) 5心得体会 (21) 参考文献 (21) 附录 (22) 摘要 在数字通信系统中,需要将输入的数字序列映射为信号波形在信道中传输,此时信源输出数字序列,经过信号映射后成为适于信道传输的数字调制信号,并在接收端对应进行解调恢复出原始信号。本论文主要研究了数字信号的传输的基本概念及数字信号传输的传输过程和如何用MATLAB软件仿真设计数字传输系统。首先介绍了本课题的理论依据,包括数字通信,数字基带传输系统的组成及

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

算法程序设计实验报告

程序设计》课程设计 姓名:王 学号:20100034 班级:软件工程00 班 指导教师:王会青 成绩: 2010年 6 月 实验一.构造可以使n 个城市连接的最小生成树 专业:__软件工程___ 班级:__软件姓名:_王___ 学号:_20100034 完成日期:_2010/6/26 ________ 一、【问题描述】给定一个地区的n 个城市间的距离网,用Prim 算法或Kruskal 算法建立最小生成树,并计算得到的最小生成树的代价。 1 城市间的道路网采用邻接矩阵表示,邻接矩阵的存储结构定义采用课本中给出的定义,若两个城市之间不存在道

路,则将相应边的权值设为自己定义的无穷大值。 2 显示出城市间道路网的邻接矩阵。 3 最小生成树中包括的边及其权值,并显示得到的最小生成树的总代价。 4 输入城市数、道路数→输入城市名→输入道路信息→执行Kruskal 算法→执行Prim 算法→输出最小生成树 二、【问题分析】 1. 抽象数据类型结构体数组的定义: #ifnd ef ADJACENCYMATRIXED// 防止该头文件被重复引用 #define ADJACENCYMATRIXED // 而引起的数据重复定义 #define INFINITY 32767 // 最大值∞ #define MAX_VERTEX_NUM 20 // 最大顶点个数 typedef int VRType; // 权值,即边的值 typedef char InfoType; // 附加信息的类型,后面使用时会定义成一个指针 typedef char VertexType[MAX_VERTEX_NUM]; // 顶点类型 typedef enum {DG=1, DN, UDG, UDN} GraphKind; //{ 有向图,有向网,无向图,无向网} typedef struct ArcCell { VRType adj; //VRType 是顶点关系类型。对无权图,用1 或0 表示相邻否;对带权图,则为权值类型。 InfoType*info; // 该弧关系信息的指针

通信系统课程设计报告

青岛农业大学 理学与信息科学学院通信系统仿真课程设计报告 设计题目PSK调制和解调系统 学生专业班级 学生姓名(学号) 指导教师 完成时间 实习(设计)地点理信学院机房 2015年09月01日

一、课程设计目的和任务 学生通过本课程的实践,能进一步掌握高级语言程序设计基本概念,掌握基本的程序设计方法;通过设计一个完整的小型程序,初步掌握开发软件所需的需求定义能力、功能分解能力和程序设计能力、代码调试技能;学习编写软件设计文档;为未来的软件设计打下良好的基础。 要求学生掌握所学的程序设计方法的基本知识。结合实际深入理解高级语言程序设计的基本概念、原理及方法。运用所学的基础知识开发一个小型的程序,能根据问题的需要构造所需的数据结构,设计适合的算法,解决问题。掌握设计任务的具体要求,进行设计、调试软件的具体方法、步骤和技巧。对一个实际课题的软件设计有基本了解,拓展知识面,激发在此领域中继续学习和研究的兴趣,为学习后续课程做准备。 二 PSK 信号调制解调模型的建立 2.1、PSK 信号调制模型的建立 相移键控(PSK )是利用载波的相位变化来传递数字信息,而振幅和频率保持不变的一种数字信号传递方法。PSK 的调制原理框图如下图所示,与ASK 信号的产生方法比较,只是对s 的要求不同,在ASK 中s 是单极性的,而在PSK 中S 是双极性的基带信号。 )(t s t c ωcos 图1 模拟调制方法 开关电路 ) (2t e PSK π )(t s 图2 键控法 2.2、PSK 信号解调模型的建立

PSK 信号的解调通常采用相干解调法,解调器原理框图如下图。在相干解调中,怎样得到与接收的PSK 信号同频同相的相干载波是一个关键的问题。 )(2t e PSK a c d t c ωcos b 图3 PSK 信号的解调原理框图 2.3、PSK 调制过程分析 根据PSK 调制的定义,设初始相位0和π分别表示二进制“1”和“0”。因此,PSK 信号的时域表达式为 2()cos()PSK c n e t A t ω?=+,其中,n ?表示第n 个符号的绝对相位: 因此,可得到下式 典型波形如下图所示 图4 PSK 信号的时间波形 由于两种码元的波形相同,极性相反,故2PSK 信号可以表述为一个双极性全占空矩形脉冲序列与一个正弦载波的相乘,即 其中, 2PSK A cos ,()A cos ,1c c t P e t t P ωω?=?--? 概率为概率为00,1n ?π?=??,发送“”时发送“”时()2PSK ()cos c e t s t t ω=()() n s n s t a g t nT =-∑

相关文档
最新文档