EDA课程设计洗衣机控制器设计

EDA课程设计洗衣机控制器设计
EDA课程设计洗衣机控制器设计

目录

第1章摘要 (1)

第2章总体设计思路 (2)

第3章详细设计 (3)

3.1 6分钟倒计时器的设计 (3)

3.2 35秒倒计时器的设计 (6)

3.3 整体的电路设计 (8)

第4章管脚锁定及硬件连线并调试 (10)

第5章总结 (11)

参考文献 (12)

洗衣机控制器的设计

第1章摘要

本文是洗衣机控制器的设计,主要是针对倒计时器的设计,提出了总体的设计方案,详细的设计过程,主要运用了74168和74192的减法计数功能,一个模块一个模块的完成设计,并通过仿真保证设计的正确性,最后组装电路,管脚锁定,并调试成功。

设计过程采用系统的设计方法,先分析任务书,明确任务的要求,根据具体的要求进行总体的设计,划分系统模块,然后进行详细的设计,决定各个功能系统模块中的内部电路,然后进行波形仿真。

要想实现洗衣状态的6分钟倒计时功能,就需要将控制秒循环的60进制的减法计数器和控制分递减的6进制减法计数器相连接,每个计数器都是由74168的减法计数器功能实现的,低位计数器每循环一次给高位信号一个借位信号,高位计数器就开始工作,3片74168共同构成了6分钟倒计时模块。

要实现甩干状态的35秒倒计时,本文用74190来实现36进制的减法计数功能,用两片74190来控制使低位的减法计数器每循环一次给高位信号一个借位信号,高位开始动作。

最后将两个倒计时模块进行封装,在利用74157对三个数码显示管要显示的数字进行选择。

第2章总体设计思路

本设计用2个拨码开关设置洗衣机的工作方式:洗衣、甩干。因为时钟倒计时,所以需要将1HZ的脉冲信号给6分钟倒计时模块(或者35秒倒计时模块),控制端控制倒计时模块的启动和暂停,当控制端电位为高电平时,倒计时模块开始工作,当控制端电位为低地点平时,倒计时模块停止工作。计时信号由实验箱上的数码管显示出来。当6分钟(或者35秒)倒计时计时完毕,即分的显示和秒的显示都为0时,计时模块停止工作。

要想实现洗衣状态的6分钟倒计时功能,就需要将控制秒循环的60进制的减法计数器和控制分递减的6进制减法计数器相连接,每个计数器都是由74168的减法计数器功能实现的,低位计数器每循环一次给高位信号一个借位信号,高位计数器就开始工作,3片74168共同构成了6分钟倒计时模块。

要实现甩干状态的35秒倒计时,本文用74190来实现36进制的减法计数功能,用两片74190来控制使低位的减法计数器每循环一次给高位信号一个借位信号,高位开始动作。

第3章详细设计

一、6分钟倒计时器的设计

本课程设计的题目要求洗衣机的洗衣时间是6分钟,要实现6分钟的倒计时,我用的是3片74168通过级联的方法来实现的。

(1)74168的功能

74168是同步十进制加/减计数器,各引脚功能:

D0、D1、D2、D3为输入端。 Q0、Q1、Q2、Q3为输出端。

LDN为置数端且低电平有效。 CLK为时钟脉冲,实验时接1HZ脉冲信号。

U/DN为计数控制方式,当其为低电平时进行减数。

ENPN、ENTN为使始能端,当其为低电平时计数,高电平时保持。

74168真值表如下图:

通观察74168的真值表发现,LDN端为低电平时该计数器强行置数,LDN端为高平时,并且ENTN与ENPN同时为低电平,U/DN为低电平时开始减法计数。

(2)6分钟倒计时计数器的设计电路

如上电路图所示,当控制端LDN为低电平时,计数器置数,左边秒位上输出为9,上面十分秒输出为9,右面的分位上输出5,当控制端LDN为高电平,ENTN 、ENPNU、/DN同为低电平时,计数器开始做减法计数工作,且在脉冲上升沿触发,秒位从9递减到0,即输出为0000时,给十分秒位一个借位信号,用一个四输入的或门实现这一功能,只有当输入全为零时或门的输出端才为全零,74168的使能端为低电平有效,此时十分秒位进行一次减法计数工作。如此反复,直到十分秒和秒位上通过或门输出1时给分位上一个借位

信号,使74168的使能端为低电平有效,此时分为上进行一次减法计数工作。如此反复,直到3个74168皆输出0000时,使倒计时器停止工作。并且可以通过对名字为01的引脚的电平的控制来实现紧急情况下的手动停止。

下面为6分钟倒计时器的仿真波形

开始时的波形

尾波形段的

当洗衣机在洗衣工作状态下手动停止时的波形

将6分钟倒计时器封装成名为caoyu的芯片。

二 35秒倒计时器的设计

(1)74190的功能

74190是十进制加/减计数器,下表为74190的真值表

通过观察真值表发现,DCBA为74190的输入端,当LDN为低电平时74190实现其指数功能,当GN,LDN同时为高电平时,74190具有减法计数器功能。

(2)35秒倒计时器的设计电路图

如上电路图所示,当LDN为低电平时,计数器置数,上面的低电位输出为6,下面的高电位输出为3,当控制端LDN为高电平时,DNUP为高电平,计数器开始工作,且在脉冲上升沿触发,低电位由6减到0,再变化到1001时,使高电位74190的CLK完成从0到1的跳变得到一个上升沿,使得高电位减一,当高电位与低电位同时为零的话由或非门的控制使得计数器停止工作。当有紧急情况发生时将引脚名为jiji的输入停止端置1,使计数器立即。

下面为35秒倒计时器的波形仿真图当洗衣机正常工作时波形为

当洗衣机在工作状态下紧急停止时,仿真波形为

将35秒倒计时器封装成名为xinde的芯片。

三整体的电路设计

因为本课设要求使用三个数码显示管,所以在整个电路的设计中加入了数据选择器来控制6分钟倒计时器和35秒倒计时器共用的显示管。

总电路图如下

如上图所示,用三片74157来选择数码显示管显示的是6分钟倒计时器还是35秒倒计时器,用引脚名为ab的输入来控制选择数据,并且通过或门的连接使得当洗衣时即6

分钟倒计时器工作时LED1灯亮,当甩干时即35秒倒计时器工作时LED2亮。

第四章管脚锁定及硬件连线并调试

第五章总结

经过了这一周的努力设计与思考,最终在实验箱上完成了洗衣机的控制器的设计,期间遇到了许多的问题比如芯片的选择,反馈控制使计数停止,紧急手动停止功能的实现等等,这些问题让我烦恼了一阵,尤其是在设计完成时check时遇到错误。但是最终我在老师的帮助下解决了这些问题,完成了电路设计,成功上箱。

这一周的课程设计让我学到了很多,也是我倒现在为止课程设计中最难的一科,首先要学习一个陌生软件的应用,然后按照课设的要求完成题目并且要保证其功能性和正确性,往往一些小的细节不注意比如命名重复等就会导致出现错误,而且自己还找不到错误的缘由。最后要上箱检验设计的正确性及是否达到要求。在此过程中我有一些心得:

1.设计初期要明确题目的要求,根据要求去思考,构思大题的思路,并且了解课设过程可能要用到的芯片的功能及用法,可以在练习纸上将所要的逻辑进行抽象,演练,要思考全面,尽量避免在用软件设计过程中一次一次的改动。

2.方案确定后再开始设计。设计时要注意对芯片的反馈控制及课程设计要求的紧急手动停止功能。

3. 在设计某些模块的时候无法把握住整体,这时可以先进行小部分功能的实现,在此基础上进行改进,虽然可能会多花一些时间,但这比空想要有效的多。

4. 尽可能是电路连线有序,模块之间关系清楚,既利于自己修改,也利于与别人交流。

5. 注意多与同学交流意见,交流使自己获得更多信息,开拓了思路。

总之,要完成实验应该有较好的理论基础,整个实验都是在理论的指导下完成的,并且设计过程中使用了许多理论课上学的内容,如数据选择器,减法计数器等。本次设计把理论应用到了实践中,通过设计,不但加深了自己对理论知识的理解和掌握,还加深了自己动手操作的能力。当我在实验设计过程遇到的挫折带给我的不仅仅是悲痛的挫败感,更重要的是会给自己带来了一份成功时的喜悦,无形之中增加了我的自信心,让我在以后的大学生活中更加自信坚定的去面对每一困难与挫折。

参考文献

1闫石.《数字电子技术基础》.高等教育出版社 ,2005年2 郑兆兆.周莲莲.张强《EDA课程设计指导书》

燕山大学课程设计评审意见表

EDA课程设计---流水灯设计

EDA课程设计流水灯设计

目录 一、摘要··3 二、流水灯设计目的··4 三、流水灯设计流程··4 四、流水灯设计程序··5 五、流水灯设计管脚分配··7 六、功能仿真图··8 七、原理图波形图··9 八、设计注意事项··10

九、课程设计总结··11 十、参考文献··12 十一、评分表··13 一、摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、

自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。 课程设计主要的目的是通过某一电路的综合设计,了解一般电路综合设计过程、设计要求、应完成的工作内容和具体的设计方法、通过设计也有助于复习、巩固以往的学习内容、达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强学生的动手能力。在此过程中培养从事设计工作的整体观念。 课程设计应强调以能力培养为主,在独立完成设计及制作任务同时注意多方面能力的培养与提高,主要包括以下方面: ·独立工作能力和创造力。 ·综合运用专业及基础知识,解决实际工程技术问题的能力。 ·查阅图书资料、产品手册和各种工具书的能力。 ·写技术报告和编制技术资料的能力。 ·实际动手能力。

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

EDA-洗衣机控制器设计

沈阳理工大学 2010年12 月21日

主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20 秒→暂停10秒→……”,定时到则停止,同时发出提示音。 基本要求: 1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止; 2、若定时到,则停机发出音响信号; 3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始; 4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 一、总体设计思想 1、基本原理 洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。

2、设计框图 二、设计步骤和调试过程 1、总体设计电路 洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。 具体电路如下图所示:

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

EDA课程设计说明书参考格式

<>课程设计说明书 题目 院、部: 学生姓名: 指导教师:王晓丽职称助教 专业: 班级: 完成时间:

摘要(三号,黑体,居中,字间空两格字符) (空二行换行) 空4格打印摘要内容(小四号宋体,行距20)。 关键词:(摘要内容后下空一行打印“关键词”三字(小四号黑体),其后为关键词(小四号宋体),每一关键词之间用分号隔开,最后一个关键词后不打标点符号。 ABSTRACT ①居中打印“ABSTRACT”,再下空二行打印英文摘要内容。②摘要内容每段开头留四个空字符。③摘要内容后下空一行打印“Key words”,其后为关键词用小写字母,每一关键词之间用分号隔开,最后一个关键词后不打标点符号。 Key words :aaa;bbb;ccc

目录(3号,黑体,居中) (空1行,以小4号黑体设置字体及大小,行间距22、字间距标准) 1 XXXXXX………………………………………………………………………… 1.1 XXXXXX……………………………………………………………………… 1.2 XXXXXX……………………………………………………………………… ┇ 2 XXXXXX………………………………………………………………………… 2.1 XXXXXX……………………………………………………………………… 2.2 XXXXXX……………………………………………………………………… ┇ 3 4 结束语 参考文献………………………………………………………………………………. 致谢……………………………………………………………………………………附录……………………………………………………………………………………

EDA课程设计报告8线-3线优先编码器

Xxxxx学院 《EDA技术》课程报告 设计题目:8线-3线优先编码器班级:应用电子1101班姓名: 学号: 指导老师: 日期:

目录 一、8-3优先编码器设计原理分析 (3) 二、8-3优先编码器模块的源程序 (3) 三、8-3优先编码器仿真结果 (4) 四、设计总结和心得体会 (5) 五、参考资料 (5)

一、8-3优先编码器设计原理分析 8-3优先编码器输入信号为din0,d in1,din2,din3,din4,din5,din6和din7,输出信号为out2、out1、out0。输入信号中din7的优先级别最低,依次类推,din0的优先级别最高。也就是说若din0输入为1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din0输入为0(即为低电平)则看优先级仅次于din0的din1状态决定,依次类推。因为din0到din7共8中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表所示。 表1 8-3优先编码器真值表 二、8-3优先编码器模块的源程序 8-3优先编码器由VHDL程序来实现,VHDL语言描述如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR(0 TO 7);output : OUT STD_LOGIC_VECTOR(0 TO 2);EANABLE: in std_logic ); END coder; ARCHITECTURE behav OF coder IS SIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN PROCESS (din) BEGIN IF (EANABLE='0') THEN IF (din(0)='1') THEN output <= "000" ; ELSIF (din(1)='1') THEN output <= "100" ;

EDA课程设计参考题目

附录I EDA课程设计参考题目 注:在以下设计中只可以用一个参考时钟 一、设计数码管显示控制器 要求: 1.能自动一次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环; 2.打开电源自动复位,从自然数列开始显示。 二、设计乒乓球游戏机 要求: 1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍; 2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分; 3.甲、乙各有一数码管计分; 4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。 三、设计智力竞赛抢答器 要求: 1.五人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.每人一个发光二极管,抢中者灯亮; 3.有人抢答时,喇叭响两秒钟; 4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。 四、设计数字钟 要求: 1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频) 2.能显示时、分、秒,24小时制; 4.时和分有校正功能; 5.整点报时,喇叭响两秒; 6.可设定夜间某个时段不报时; 注意:硬件资源的节约,否则器件内资源会枯竭。 五、设计交通灯控制器 要求: 1.东西方向为主干道,南北方向为副干道; 2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换; 4.换向时要有4秒的黄灯期;

EDA课程设计实验报告

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级1181 学号 2 姓名肖浪

指导教师乔汇东吴德建 2013年7月2日 湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1181 学生姓名肖浪 学号 2 指导老师乔汇东吴德建

任务书下达日期2013 年6月23日 任务完成日期2013 年7月2日 《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第十八周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附:

课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

EDA课程设计题目

计算机辅助设计参考题目 设计一数字式竞赛抢答器 1、设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢 答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显 示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10 分,答错一次减10分。 教学提示: 1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使 触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。 2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别, 用第一抢答信号推动扬声器发出音响。 3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。 设计二数字钟 1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。 2、熟练掌握各种计数器的使用。 3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。 4、能用低位的进位输出构成高位的计数脉冲。 教学提示: 1、时钟源使用频率为0.1Hz的连续脉冲。 2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。 3、时钟显示使用数码管显示。 4、“时显示”部分应注意12点后显示1点。 5、注意各部分的关系,由低位到高位逐级设计、调试。 设计三数字频率计 1、设计一个能测量方波信号的频率的频率计。 2、测量的频率范围是1Hz~9999Hz。 3、结果用十进制数显示。 教学提示: 1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被 测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。 所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。 2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。 3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms, 10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。 4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时 基信号的一个周期),输入信号才通过主控门。 5、f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

EDA课程设计报告-北京科技大学分析

EDA课程设计报告 题目彩灯循环闪烁电路的仿真学院自动化 专业班级自 姓名 学号 成绩 2014年12月

1、设计目的 (3) 2、设计原理 (3) (3) 3、单元电路的设计与仿真 (3) 3.1时钟脉冲产生电路 (3) 3.2计数器电路的设计与仿真 (5) 3.3译码和显示电路的设计 (6) 4、总体电路仿真 (7) 5、总结与收获 (9)

1、设计目的 1)彩灯能够自动循环闪烁 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路输出。 2、设计原理 彩灯循环闪烁电路的工作原理采用555定时器连接成多谐振荡器产生频率产生连续可调的时钟脉冲信号,然后将时钟信号输出通过计数器接受。然后,经过八进制加法计数器的计数实现循环功能。最后,通过译码器译码实现循环灯亮。 该电路主要分为三个模块,多谢振荡器模块、八进制加法计数器电路模块、译码器与彩灯电路模块。其结构框图如图1所示。 图1 彩灯循环闪烁电路的设计框图 3、单元电路的设计与仿真 3.1时钟脉冲产生电路 时钟脉冲产生电路由555定时器和外接元件R1、R2、R3、C1和C2构成多谐振荡器。管脚THR与管脚TRI直接相连。图2为,产生电路模块。电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号。利用电源通过R1、R2向C1充电,以及C1通过R2、R3向放电端DIS放电,使电路产生震荡。输出矩形波,为计数器提供脉冲源。其管脚2的电容充放电波形(黄色线条)和管脚3时钟脉冲输出波形(红色线条)如图3所示。

图2 时钟脉冲产生电路的仿真图 图3 管脚2、3的输出波形

3.2计数器电路的设计与仿真 本文的彩灯电路选用74LS160N-集成10进制同步加法计数器。74LS160N具有异步清零和同步置数的功能。为了实现8盏灯循环闪烁,电路采用异步反馈清零法获得8进制计数器。如图4所示,当Q D Q C Q B Q A输出1000时,U4A输出一个低电平到CLR,将计数器清零,回到0000状态。 图4 8进制计数器仿真图 确认电路连接无误后,单击RUN,开始仿真。结果如图4,通过7段数码管看到有0-7共8个有效状态。图5即计数过程。

EDA-洗衣机控制器设计

佛山职业技术学院 2013年07月1日

洗衣机控制器的设计 一、实训课题: 洗衣机控制器的设计 二、设计的内容及要求: 1.设计一个洗衣机控制器,要求为: 1)洗衣机控制器可以驱动洗衣机进行洗涤、漂洗或烘干; 2)洗衣机控制器可以设置洗衣机的工作时间,工作时间最短1分钟,最长1小时, 在工作过程中,工作时间以倒计时显示,若时间为0洗衣机停止工作; 3)洗衣机在待机状态时,洗衣机控制器可以设置洗衣机的工作方式和工作时间; 4)可以暂停或停止洗衣机工作; 5)利用三个数码管显示洗衣机待机时的设置时间和工作时的运行时间,利用一位 数码管显示洗衣机待机时所设置的工作方式运行时的工作方式; 6)利用三个LED分别表示驱动洗衣机进行洗涤、漂洗或烘干。 7)洗涤时,电机中速正转;漂洗时,电机慢速反转;烘干时,电机快速正转。 2.洗衣机控制器可以划分为状态机模块、计时器模块、设置模块和显示选择模块。在QuartusII中输入各个模块的代码,编译综合,仿真,完成各个模块的软件设计; 3.把各个模块组合起来,综合编译,仿真,完成整个控制器系统的软件设计; 4.选择电路方案锁定管脚,把程序下载到实验箱中,利用实验箱进行硬件实现; 5.以EPM240为核心,设计洗衣机控制器硬件电路原理图和PCB版图。 6.完成实训报告。实训报告包括: 1)设计的任务和要求; 2)模块的划分和系统总框图; 3)各个模块的实现,包括模块的作用,模块的输入与输出情况,模块状态图,模 块的代码以及注释,模块的波形图; 4)系统的实现,包括系统总原理图,系统的波形图; 5)管脚的锁定关系; 6)电路原理图和PCB版图; 7)实训总结。 三.设计思路: 1)状态切换→有限状态机 2)按定时时间及时→定时计数器 3)显示时间→数码管译码驱动器 4)接收设置时间→时间设置键盘扫描器 5)接收设置模式→模式设置键盘扫描器 6)切换显示运行时间和设置时间→二路选择器

EDA课程设计参考题目

EDA课程设计参考题目一、设计彩灯控制器一 要求: 1.有八只LED,L0……L7 2.显示顺序如下表 3

要求: 1.8 个灯全亮; 2.8 个灯全灭; 3.从左边第一个开始每隔一个亮; 4.从右边第一个开始每隔一个灭; 5.左4个灭,右4个亮; 6.左4个亮,右4个灭; 7.显示间隔0.5S,1S可调。 三、设计彩灯控制器三 要求: 1. 有十只LED,L0……L9 2. 显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调。 四、自设计动奏乐器一 要求: 1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。 1 1 5 5 6 6 5 – 4 4 3 3 2 2 1 – 5 5 4 4 3 3 2 – 5 5 4 4 3 3 2 – 3.附加:显示乐谱。 五、设计自动奏乐器二 要求: 1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。 1 3 1 3 5 6 5 – 6 6 ? 1 6 5 ––– 6 6 ? 1 6 5 5 3 1 2 2 3 2 1 ––– 3.乐曲自选。 4.附加:显示乐谱。 六、设计汽车尾灯控制器 要求: 1.用6个发光二极管模拟6个汽车尾灯(左、右各3个)。 2.汽车往前行驶时,6个灯全灭。当汽车转弯时,若右转弯,右边3个尾灯从左至右顺序 亮灭,左边3个灯全灭;若左转弯,左边3个尾灯从右至左顺序亮灭,右边3个灯全灭; 汽车刹车时,6个尾灯同时明、暗闪烁;汽车在夜间行驶时,左右两侧的灯同时亮,供照明使用。

要求: 1.在十字路口的两个方向上各设一组红绿黄灯,显示顺序为:其中一个方向是绿灯、黄灯、 红灯,另一个方向是红灯、绿灯、黄灯。 2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、 红灯的持续时间分别是20s、5s、25s。 八、设计数字频率计 要求: 1.输入为矩形脉冲,频率范围0~99MHz; 2.用五位数码管显示;只显示最后的结果,不要将计数过程显示出来; 3.单位为Hz和KHz两档,自动切换。 九、设计智力竞赛抢答器 要求: 1.四人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。 3.有人抢答时,喇叭响两秒钟; 4.答题时限为100秒钟(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 十、设计数字秒表 要求: 1.要求设置启/停开关。当按下启/停开关,将启动秒表开始计时,当再按一下启/停开关时, 将终止计时操作。 2.数字秒表的计时范围是0秒~59分59.99…… 3.要求计时精度为0.01s。 4.复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就 清零,并做好下次计时的准备。 十一、设计数字钟 要求: 1.具有时、分、秒计数显示功能,且以24小时循环计时。 2.具胡清零的功能,且能够对计时系统的小时、分钟进行调整。 3.具有整点报时功能。 十二、设计三层电梯控制器 要求: 1.每层电梯入口处设有上下请求开关,电梯内有乘客到达层数的的停站请求开关。 2.设有电梯所处位置指示装置及电梯运行模式(上升和下降)指示装置。 3.电梯每秒钟升(降)一层。 4.电梯到达有请求的楼层,电梯经过lS电梯门开,打开4S后,电梯门关闭(开门指示灯灭)。电梯继续运行,直至完成最后的一个请求信号后停留在当前层。 5.能记忆电梯内外所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号留至执行完后消除。 6.电梯运行规则:当电梯处于上升模式时,只响应比电梯所在的位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼清求执行完毕;如果高层有下楼请求,则直接升到有下

广东工业大学eda课程设计报告

课程设计报告 课程名称 EDA课程设计 学院信息工程学院年级班别 学号 学生姓名 指导老师罗思杰 2017年12月09日

目录 一、设计目的和要求: (3) 二、EDA设计: (3) 三、硬件测试: (15) 四、设计和调试过程中遇到的问题及解决方法.. 15 五、完成课程设计后的收获或体会: (15) 六、设计参考文献: (15)

一、设计目的和要求: 1、设计目的: 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、撰写技术报告和编制技术资料的能力,接受一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程经验。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求: (1)以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2)熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3)能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4)学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 二、EDA设计: (1)方案比较: 1、数字电子钟设计 设计一个时钟电路,包括时钟、分钟、秒钟的显示。要求可对时钟、分钟进行预置和修改操作;可设置3组闹铃时间,时间到时给出10秒的报警声或音乐并给出灯光提示。 具体输入/输出要求如下: ① 4位LED数码显示器,分别显示“小时:分钟”或“分钟:秒”时钟;根据需要选择几个LED发光二极管。 ②3个按键,具体功能描述如下:

全自动洗衣机控制系统设计

分数: 华南理工大学广州学院 课程设计任务书 题目:全自动洗衣机的PLC控制系统设计 课程:PLC技能培训课程设计 专业:自动化 班级: 姓名: 学号:

第一部分任务书

《PLC技能培训》课程设计任务书 一、课程设计目的 编程序控制器(PLC)于20世纪60年代在美国诞生,在我国的发展与应用已有30多年的历史,现在它已经广泛应用于国民经济的各个工业生产领域,成为提高工业装备电气自动化水平的重要设备和强大支柱。因此,PLC技术已成为电气自动化专业技能型人才必不可少的重要技能,《PLC技能培训》课程是《电气设备与PLC》课程实践教学环节,通过实践,可以帮助学生加深对理论知识消化吸收,提高PLC编程技能。 该课程设计的主要目的是通过运用三菱FX3U 系列PLC设计一控制系统,掌握PLC控制系统设计原则、方法、过程和具体设计步骤。通过设计还有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备及控制系统的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 二、PLC应用系统设计的内容和步骤 1.设计原则 (1)系统应最大限度地满足被控设备或生产过程的控制要求。 (2)在满足控制要求的前提下,应力求使系统简单、经济,操作方便。 (3)保证控制系统工作安全可靠。 (4)考虑到生产发展和生产工艺改进,在确定PLC容量时,应适当留有裕量,使系统有扩展余地。 2.设计内容 )拟定控制系统设计的技术条件。1(. (2)确定电气传动控制方案和电动机、电磁阀等执行机构。 (3)选择PLC的型号。 (4)编制PLC输入、输出端子分配表。 (5)绘制输入、输出端子接线图。 (6)根据系统控制要求,用相应的编程语言(常用梯形图)设计程序。 (7)设计操作台、电气柜及非标准电气元件。 (8)编写设计说明书和使用操作说明书。 3.设计主要步骤 (1)分析被控对象的控制要求,确定控制任务. (2)选择和确定用户I/O设备. (3)选择PLC的型号.

eda课程设计报告题目

EDA课程设计目录 1 乘法器设计2 1.1设计要求2 1.2设计提示2 2八位序列检测器设计4 2.1设计要求4 2.2 设计提示4 3 多功能数字钟的设计5 3.1 设计要求5 3.2 设计提示6 5 数字频率计7 5.1 设计要求7 5.2 设计提示8 6 拔河游戏机10 6.1设计要求10 6.2设计提示10 7 洗衣机控制器11 7.1 设计要求11 7.2设计提示12 8 简易音乐播放器14 8.1设计任务14 8.2设计提示14

1 乘法器设计 1.1设计要求 设计一个能进行两个十进制数相乘的乘法器,乘数和被乘数均小于100,通过按键输入,并用数码管显示,显示器显示数字时从低位向高位前移,最低位为当前显示位。当按下相乘键后,乘法器进行两个数的相乘运算,数码管将乘积显示出来。 系统框图如图1-1所示。 图1-1 乘法器系统框图 1.2设计提示 表1-1 编码器真值表

此设计问题可分为乘数被乘数输入控制模块、寄存模块、乘法模块和扫描显示模块几部分。 乘数和被乘数的输入仍用数据开关K1-K10分别代表数字1、2、…、9、0,用编码器对数据开关K1~K10的电平信号进行编码,编码器真值表如表1-1所列。用两个数码管显示乘数,两个数码管显示被乘数。 设置“相乘”信号mul,当乘数输入完毕后,mul有效使输入的乘数送寄存器模块寄存。再输入被乘数,显示在另两个数码管上。 设置“等于”信号equal,当乘数和被乘数输入后,equal有效,使被乘数送寄存模块寄存,同时启动乘法摸块。 两数相乘的方法很多,可以用移位相加的方法,也可以将乘法器看成计数器,乘积的初始值为零,每一个时钟周期将被乘数的值加到积上,同时乘数减一,这样反复执行,直到乘数为零。 硬件系统示意图如图1-2所示。 图1-2 乘法器硬件系统示意图 可参考你们的EDA教材中的乘法器设计。

EDA技术课程设计报告

贵州大学 EDA技术课程设计报告 题目:BCD码加法器 院系计算机科学与技术学院 专业计科121 学号1208060061、1208060058、1208060065 学生姓名张飞宇、王红强、匡金军 指导教师夏玉勤

设计BCD码加法器 一、设计任务及要求 (1)课程设计意义: 对BCD码加法有了进一步了解; 学习了quartus II设计软件,初步了解了FPGA; (2)设计要求: 利用Verilog HDL语言,编写一个4位BCD码加法器程序,输入用八个开关分别表示两个BCD码,输出结果用数码管显示。 主要芯片:2个全加器(4008BD)、开关、数码管(2个),其他元件、门电路任选 (3)主要功能: 输入两个4位BCD码,相加结果显示在数码管上。 我组在要求的功能上添加了一些代码,使输入结果也能在数码管上显示。 (4)设计思路: 图1 简易结构图 如图1所示:A,B分别是2个输入数字,S0-S1为输出,经过BCD 加法器的运算,能实现BCD码加法功能。 (1)T=A+B,若T>10,则Z赋值为10,同时进位(2)C=1,和值低位(3)S0=T-Z,和值高位(4)S1=C。 二、基于Verilog语言的电路设计、仿真、综合 硬件及软件电路设计及描述

图2 顶层模块图 图3 RTL (1)数据的产生与输入 通过J1~J8八个单刀双掷开关在+5V和GND之间的切换来产生两个4位8421BCD码作为输入的数据,当开关打到+5V时输入数据1,打到GND时输入数据0。其中J1~J4分别为数据A3~A0,J5~J8分别为数据B3~B0,且A3~A0、B3~B0 的位权依次降低(8421)。 (2)加法电路 把上面得到的两个四位8421BCD码分别输入4008BD全加器的输入端A3~A0、B3~B0,同时CIN输入端接低电平。则S3~S0输出计算结果,COUT为

相关文档
最新文档