cpld彩灯实验报告

cpld彩灯实验报告
cpld彩灯实验报告

信息科学与工程学院FPGA设计及应用实验报告

专业班级通信工程1202

姓名沈佳曼

学号20121181086

指导老师马玲

实验一:彩灯实验报告

一.实验目的

1 通过实验初步了解EDA的基本概念。

2 能理解用VHDL语言实现硬件设计的思路。

3 能熟练掌握EDA开发软件的使用。

二.实验原理

实验中,八个彩灯共阴接地,阳极分别为FPGA的八个I/O相连,I/O输出变化的电平来控制彩灯的点亮。

三.实验现象

利用light和pin1hz两个模块源程序,成功导入后看的的现象如下:

(1).点阵从左至右按次序渐亮,全亮后逆次序熄灭;

(2).从中间到两边对称的渐亮,全亮后仍由中间向两边逐次渐灭;

(3).奇偶位循环点亮;

(4).从新开始,依次循环。

四.实验中遇到的问题及解决方法

这是第一次实验,前面的内容与之前学习的大同小异,但是在利用两个模块源程序生成元器件,画完原理图并成功编译后,还需要导入机箱中。

遇到的问题有:1).在对子项目进行管脚绑定时,可供选择的管脚只有两个,clkin 和LED,没有LED的八个灯,经检查发现是之前的源程序置顶编译后生成的原理图没有置顶编译,后来解决后成功绑定管脚;(下图为成功绑定后的照片)

2).JTAG模式中,add file时,将后缀为sof的文件加入后,点击start,灯全灭后全亮,并保持这个状态,后来发现是程序中的频率设置出问题,我的第二个模块源程序pin1hz中设置的频率太低导致彩灯闪烁出现问题,在同学建议下我把本来的integer range o to 49中49改为了49999999就很好地观察到了上述现象。

五.改进内容

在完成了课本上的基本内容后,老师进一步要求我们自己看懂主要程序自己来改变彩灯点亮和熄灭的方式。研究后我把第三种从中间往两边点的方式覆盖到第二种,并且将从中间向两边点改为从中间往两边熄,程序如下:

elsif flag="001"then

light(len downto 4)<=light(len-1 downto 4) &'0';

light(len-4 downto 0)<='0'&light(len-4 downto 1);

if light(1)='0'then

flag:="010";

end if;

elsif flag="010"then

light(len downto 4)<=light(len-1 downto 4) &'1';

light(len-4 downto 0)<='1'&light(len-4 downto 1);

if light(1)='1'then

flag:="011";

end if;

并且将频率49999999换成了4999999,25000000换成了2500000,则频率变成了5M和25M,5M对应10Hz和0.1s,25M对应5HZ和0.2s,将原有的分频放大了10倍,有同学讲其放大了100倍,但灯跑的过快,我觉得还是10倍最直观。(下图为将程序导入机箱时的页面图)

六.实验总结

这次的花样彩灯,让我学会了使用状态机编程,清楚了状态机编程的特点,同时对于点阵的了解也更进了一步。懂得了在程序编译出错的时候要细心并耐心地改正,基本的程序问题应该尽可能的避免,并且要耐心的更正,对于程序必须要弄懂,了解每一次实验的实验原理,不然无法进行相应的程序更改,应付老师的检查并没有多大的意义,更重要的是要在每一次实验中更熟练的操作这些仪器,完善我们的实践能力。另外程序编译成功了不能忘记生成元器件,否则后面画原理图的时候又得重新生成不方便查找,并且每一步都不要忘了先置顶再编译,再生成symbol,不然对后续的实验会有极大的影响。像这样的彩灯实验频率就是其程序很关键的一点,频率影响时间,模式的更改更是其中一项奇妙的设计。最后一点,在实验中一定要细心,不能因为实验现象错误就急躁,问题都是一步一步检查出来的。

七.实验程序

1.“light”

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity light is

port(clk1: in std_logic;

light: buffer std_logic_vector(7 downto 0));

end light;

architecture behv of light is

constant len : integer:=7;

signal banner: std_logic:='0';

signal clk,clk2: std_logic;

begin

clk<=(clk1 and banner) or (clk2 and not banner); process(clk1)

begin

if clk1'event and clk1='1' then

clk2<=not clk2;

end if;

end process;

process(clk)

variable flag:bit_vector(2 downto 0):="000"; begin

if clk'event and clk='1' then

if flag="000" then

light<='1'&light(len downto 1);

if light(1)='1'then

flag:="001";

end if;

elsif flag="001" then

light<=light(len-1 downto 0) &'0';

if light(6)='0'then

flag:="010";

end if;

elsif flag="010"then

light(len downto 4)<=light(len-1 downto 4) &'1'; light(len-4 downto 0)<='1'&light(len-4 downto 1); if light(1)='1'then

flag:="011";

end if;

elsif flag="011" then

light(len downto 4)<='0'&light(len downto 5);

light(len-4 downto 0)<=light(len-5 downto 0) &'0'; if light(2)='0'then

flag:="100";

end if;

elsif flag="100"then

light(len downto 4)<='1'&light(len downto 5);

light(len-4 downto 0)<='1'&light(len-4 downto 1); if light(1)='1'then

flag:="101";

end if;

elsif flag="101"then

light<="00000000";

flag:="110";

elsif flag="110"then

banner<=not banner;

flag:="000";

end if;

end if;

end process;

end behv;

2.“PIN1hz”

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY PIN1HZ IS

PORT(clkin: IN STD_LOGIC;

clkout:OUT STD_LOGIC);

END PIN1HZ;

ARCHITECTURE A OF PIN1HZ IS

BEGIN

PROCESS(clkin)

variable cnttemp: INTEGER RANGE 0 TO 49999999;

BEGIN

IF clkin='1' AND clkin'event THEN

IF cnttemp=49999999 THEN cnttemp:=0;

ELSE

IF cnttemp<25000000 THEN clkout<='1';

ELSE clkout<='0';

END IF;

cnttemp:=cnttemp+1;

END IF;

END IF;

END PROCESS;

END A;

姓名:沈佳曼

班级:通信1202班

学号:20121181086

时间:2015.4.21

CPLD可编程数字信号发生器实验

课程: 通信原理 CPLD可编程数字信号发生器实验报告 系电子信息与计算机科学系 专业电子信息科学与技术 班级 姓名 学号 指导教师 实验地点 学年学期

一、实验目的 1、熟悉各种时钟信号的特点及波形。 2、熟悉各种数字信号的特点及波形。 二、实验内容 1、熟悉CPLD可编程信号发生器各测量点波形。 2、测量并分析各测量点波形及数据。 三、实验模块 1、通信原理0 号模块一块 2、示波器一台 四、实验原理 CPLD可编程模块用来产生实验系统所需要的各种时钟信号和各种数字信号。它由CPLD可编程器件ALTERA公司的EPM240T100C5、下载接口电路和一块晶振组成。晶振JZ1用来产生系统内的32.768MHz主时钟。本实验要求参加实验者了解这些信号的产生方法、工作原理以及测量方法,才可通过CPLD可编程器件的二次开发生成这些信号,理论联系实验,提高实际操作能力。 1、CPLD数字信号发生器,包括以下五个部分: ①时钟信号产生电路; ②伪随机码产生电路; ③帧同步信号产生电路; ④NRZ码复用电路及码选信号产生电路; ⑤终端接收解复用电路。 2、24位NRZ码产生电路 本单元产生NRZ信号,信号速率可根据输入时钟不同自行选择,帧结构如下图所示。帧长为24位,其中首位无定义,第2位到第8位是帧同步码(7位巴克码1110010),另外16路为2路数据信号,每路8位。此NRZ信号为集中插入帧同步码时分复用信号。LED亮状态表示1码,熄状态表示0码。 五、实验步骤 1、观测时钟信号输出波形。 信号源输出两组时钟信号,对应输出点为“CLK1”和“CLK2”,拨码开关S4的作用是改变第一组时钟“CLK1”的输出频率,拨码开关S5的作用是改变第二组时钟“CLK2”的输出频率。拨码开关拨上为1,拨下为0,拨码开关和时钟的对应关系如下表所示拨码开关时钟拨码开关时钟 000032.768M1000128K 000116.384M100164K 00108.192M101032K 0011 4.096M101116K

plc设计实验报告

学院:信息工程学院班级: 学号: 姓名:

实验一:了解PLC的硬件构成与特性和编 程软件的使用方法 一、实验目的: 1.熟悉LG—K10S1型PLC的构成及特性。 2.掌握基本指令的使用方法。 3. 掌握PLC程序的编制和调试方法。 二、实验步骤: 1.按图接线;开关量输入信号/输出信号。 2.检查无误后,上电运行。 三、掌握PLC编程软件的使用方法: 1) 用户可以在KGL-DOS 或者GSIKGL中使用的程序,参数,变量/注释也适用与KGL for Windows。 2) PLC 系统由工程[Project]结构 KGL for Windows把用户自定义程序当成一个包括参数和变量/注释的工程[Project]来管理。 同时有允许用户把程序(*.PRG),参数*(.PMT),,变量(*.VAR),注释(*.CNT)各自保存起来,是这些单独的文件应用到别的工程[Project]中。 3) 用户友好接口 为创建,编辑和监视提供简单的和友好的接口。 4) 在线编辑 在在线方式下可以获得实时编辑。在在线条件下编辑的程序可以自动的下载、而不必停止PLC的硬件。 5) 从PLC监视信息 用户可以轻易的监视PLC的状态如:错误状态,网络信息和系统信息。 6) 调试和自诊断(LG MASTER-K系列) 在精确调试中可以得到取样跟踪,触发和强制I/O Enable。

实验二:多重输入电路及其拓展电路的编程、下载、调试 一、实验目的: 理解典型电路的特性与设计方法,多点输入对输出的影响。 二、实验内容: 多重输入电路的编程、下载、调试 三、实验步骤: 将上述继电器线路图转化成梯形图并输入PLC,并由此进一步熟悉和掌握编程软件。 通过实验操作,观察输入P00、P01、P02、P03对输出P10的影响。并变换输入和输出接点实验。 P00、P02、P01、P03为常开接点。 当P00、P01接通时,P10亮蓝灯。 P00、P03接通时,P10输出。 P02、P01接通时,P10输出。 P02、P03接通时,P10输出。 P00、P02、P01接通时,P10输出。 P00、P02、P03接通时,P10输出。 P00、P01、P03接通时,P10输出。 P02、P01、P03接通时,P10输出。 P00、P02、P01、P03接通时,P10输出。

计算机组成原理实验报告

实验报告书 实验名称:计算机组成原理实验 专业班级:113030701 学号:113030701 姓名: 联系电话: 指导老师:张光建 实验时间:2015.4.30-2015.6.25

实验二基本运算器实验 一、实验内容 1、根据原理图连接实验电路

3、比较实验结果与手工运算结果,如有错误,分析原因。 二、实验原理 运算器可以完成算术,逻辑,移位运算,数据来自暂存器A和B,运算方式由S3-S0以及CN来控制。运算器由一片CPLD来实现。ALU的输入和输出通过三态门74LS245连接到CPU内总线上。另外还有指示灯进位标志位FC和零标志位FZ。 运算器原理图: 运算器原理图 暂存器A和暂存器B的数据能在LED灯上实时显示。进位进位标志FC、零标志FZ 和数据总线D7…D0 的显示原理也是如此。 ALU和外围电路连接原理图:

ALU和外围电路连接原理图运算器逻辑功能表:

三、实验步骤 1、按照下图的接线图,连接电路。 2、将时序与操作台单元的开关KK2 置为‘单拍’档,开关KK1、KK3 置为‘运行’档。 3、打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动CON 单元的CLR 按钮,将运算器的A、B 和FC、FZ 清零。 4、用输入开关向暂存器A 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘1’,灭为‘0’。 ②置LDA=1,LDB=0,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数01100101 置入暂存器A 中,暂存器A 的值通过ALU 单元的 A7…A0 八位LED 灯显示。 5、用输入开关向暂存器B 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数10100111 (或其它数值)。 ②置LDA=0,LDB=1,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数10100111 置入暂存器B 中,暂存器B 的值通过ALU 单元的 B7…B0 八位LED 灯显示。 6、改变运算器的功能设置,观察运算器的输出。置ALU_B=0 、LDA=0、LDB=0,然后按表2-2-1 置S3、S2、S1、S0 和Cn的数值,并观察数据总线LED 显示灯显示的结果。如置S3、S2、S1、S0 为0010 ,运算器作逻辑与运算,置S3、S2、

FPGA与CPLD

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 CPLD与FPGA的关系 早在1980年代中期,FPGA已经在PLD设备中扎根。CPLD和FPGA包括了一些相对大数量的可以编辑逻辑单元。CPLD逻辑门的密度在几千到几万个逻辑单元之间,而FPGA通常是在几万到几百万。 CPLD和FPGA的主要区别是他们的系统结构。CPLD是一个有点限制性的结构。这个结构由一个或者多个可编辑的结果之和的逻辑组列和一些相对少量的锁定的寄存器。这样的结果是缺乏编辑灵活性,但是却有可以预计的延迟时间和逻辑单元对连接单元高比率的优点。而FPGA却是有很多的连接单元,这样虽然让它可以更加灵活的编辑,但是结构却复杂的多。 CPLD和FPGA另外一个区别是大多数的FPGA含有高层次的内置模块(比如加法器和乘法器)和内置的记忆体。一个因此有关的重要区别是很多新的FPGA支持完全的或者部分的系统内重新配置。允许他们的设计随着系统升级或者动态重新配置而改变。一些FPGA可以让设备的一部分重新编辑而 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点 1)采用FPGA设计ASIC电路(特定用途集成电路),用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和I/O引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完

彩灯循环显示控制电路的设计与仿真EWB

南京信息工程大学实验(实习)报告 实验(实习)名称彩灯循环显示控制电路的设计与仿真实验(实习)日期 2012年11月16日得分指导教师裴晓芳 院电子与信息工程专业电子信息工程年级 10级班次2班姓名张蕾学号 20101305066 1.实验目的: 设计彩灯循环控制电路,要求该电路彩灯循环显示频率快慢可调,控制器具有8路输出。 2.实验内容: 彩灯由发光二极管模拟代替,该电路由555定时器、7490计数器和138译码器组成。7490计数器的时钟由555振荡器提供,改变555的振荡频率,即可以改变计数器的快慢,即可以控制彩灯闪烁的快慢。计数器的输出端作138译码器的输入信号,计数器输出不同数据,即可以控制138译码器得到8种不同的输出,控制彩灯的循环变化。 3.实验步骤: (1)根据电路图图1,从EWB元件器库中选择所需元器件,74LS138芯片、7490芯片、555发生器、发光二级管、电阻、电容、+Vdd电平、接地符号等连接电路,根据需要修改参数,完成后其文件名保存文件。 图1 (2)检查电路无误后,运行该电路观察发光二级管的闪烁情况,如图2所示。 图2

(3)改变555的振荡频率,重新运行电路,观察发光二极管的闪烁情况变化。进过实验,将1MΩ的电阻换为1KΩ的电阻,发现其闪烁的频率变快。 (4)给电路添加复位控制,复位按钮闭合彩灯输出,复位按钮断开彩灯熄灭,电路如图3所示,当space=0时,电路复位。 图3 4.实验分析和总结 通过实验,我学会了如何设计一个彩灯循环显示控制电路,掌握了芯片555定时器,7490计数器以及138译码器的工作原理,学会了创新设计,为以后的学习打下基础。

课程设计----四路彩灯显示系统设计

数字电路逻辑设计 实 验 报 告 设计题目: 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也 为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012Y YY =100时,01S S =01(右移),012Y YY =010时,01S S =10(左移),当012Y YY =001时01S S =11(并行置数)。 74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确 定下来,即 012Y YY =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 通过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,

CPLD和FPGA区别

CPLD和FPGA区别 可编程逻辑器件主要包括FPGA和CPLD,FPGA是Field Programmable Gate Array缩写,CPLD是plex Promrammable Logic Device的缩写。 从可编程逻辑器件的发展历史上来讲,CPLD一般是指采用乘积相结构的基于EEPROM 的器件,所以具有非挥发的,不需要外部配置ROM,具有XX性和有限次编程次数(根据不同的结构,从100次到1万次不等)等特点,适合用在胶合逻辑(glue logic,如DSP芯片外围的译码逻辑),IO扩展,IO电平转换,FPGA芯片配置等应用场合。如Altera的MAX7000和MAX3000系列芯片,Xilinx的XC9500和CoolRunner/II系列芯片,Lattice的ispMACH4000/Z系列芯片都是CPLD器件,容量从32宏单元到512宏单元不等。 FPGA主要是指采用四输入查找表(LUT4)的基于SRAM的器件,因为SRAM是挥发的,掉电丢失数据,所以FPGA需要外部配置ROM,上电的时候,从外部的ROM把FPGA的配置数据导入到FPGA芯片内部后工作。具有SRAM的FPGA采用标准的CMOS制造工艺,可以随着最新的工艺而更新还代,给用户带来了实惠;衡量FPGA容量的一个基本指标是逻辑单元(Logic cell或者Logic element),由一个可编程得LUT4和一个可编程的DFF组成,LUT4完成组合逻辑功能, 而DFF用来实现时序功能。FPGA的容量从几千的逻辑单元到几十万的逻辑单元不等。如Altera的Cyclone/II/III和Stratix/II/III系列芯片,Xilinx Spartan3/3E/3A/3AN 和Virtex4/5系列芯片都是FPGA器件。 随着芯片技术的发展,CPLD和FPGA的概念已经模糊在一起,如Altera和Lattice 公司把小容量(小于2K左右逻辑单元)非挥发的可编程器件归到CPLD里,如Altera的MAXII 系列和Lattice的MACH XO系列芯片,把基于SRAM的FPGA和FLASH的储存单元做到一个芯片里面,以及跟传统的CPLD不一样了; 总之,我们可以简单的区分FPGA和CPLD,CPLD:小容量(<2K左右LE)的非挥发的可编程器件;其它的可编程器件都可归到FPGA。 系统的比较:

十六路彩灯控制电路实验报告

山东科技大学电工电子实验教学中心创新性实验研究报告 实验项目名称_16路彩灯控制电路___ 专题____________ 姓名学号_ 姓名学号_ 姓名学号_ 手机Email _ 专业电气工程及其自动化班级___ 指导教师及职称______ 开课学期2011 至_2012 学年_2 _学期提交时间2012 年 6 月28 日

一、实验摘要 设计一种利用发光二极管作为彩灯指示,实现发光二极管四种花样依次点亮,并能够实现这四种点亮方式自动状态切换的彩灯循环控制电路。实验按照效果预设、电路设计、仿真调试、实物实验连接与调试的步骤进行,在实验组三人的分工合作下完成对预定效果的实现。实验原理主要涉及数字电子技术和模拟电子技术知识,要求通过本实验既能加深对原有基础知识的熟悉和掌握,并实现在所学内容的基础上创新性设计和应用。本实验用到的元器件主要包括555定时器、16进制加减计数器、普通16进制加法计数器以及译码器,并以它们为各自核心分别构成了多谐振荡信号输出部分、彩灯状态编码输入部分、彩灯点亮花样切换控制部分和彩灯状态译码输出部分,从而实现在有高电平脉冲输入的情况下彩灯电路自动实现不同的闪烁效果。 二、实验目的 1.学会分析、设计和测试用555计时器构成的多谐振荡器。 2.熟悉掌握16进制计数器的不同工作状态的基本原理并在自主设计下实现不同状态之间的自动转换。 3.实现16个已编号LED灯四种方式的点亮花样的依次循环: ①从1号灯开始依次加法式顺次点亮至第16号灯; ②从16号灯开始依次减法式顺次点亮至第1号灯; ③1号灯和9号灯同时点亮,并且分别以它们为起点依次加法式顺次点亮至第8号和第16号灯,即1号灯点亮并依次加法式顺次点亮至第8号灯的同时9号灯点亮并依次加法式顺次点亮至第16号灯,然后重复一次; ④16号灯和8号灯同时点亮,并且分别以它们为起点依次减法式顺次点亮至第9号和第1号灯,即16号灯点亮并依次减法式顺次点亮至第9号灯的同时8号灯点亮并依次减法式顺次点亮至第1号灯,然后重复一次; 并且以上四种循环点亮方式要实现①→②→③→④的状态自动控制循环。 4.实现两片3线-8线译码器用作4线-16线译码器,并且要在彩灯点亮花样的要求下实现同一时间下的不同工作状态的组合,以控制彩灯不同的点亮或熄灭循环状态。 5.掌握电子电路安装和调试以及故障排除的方法,学会用Multisim软件对电路仿真。 6.通过查阅手册和文献资料,培养分析问题和解决问题的能力,培养创新思维和创新能力。 三、实验场地及仪器、设备和材料:

CPLD课程实验报告

CPLD课程学习报告 八周的CPLD及电子CAD实验结束了,回忆着过去八周的学习,收获很多。这八周的学习,是我从一个对CPLD完全没有概念的人变得能完成数字时钟及其扩展,其中虽然遇到了很多困难,但当困难被克服,实验结果出来是的喜悦是难以言状的。下面,分别讲述这八次课的收获和心得。 学习上,学到了知识,肯定是不小的收获,但在学习之外,我也收获了很多,首先,做实验的时候是既有分组又有合作的的,说道合作,我们了解了一个团队协作的重要性,开始的时候,由于和搭档不认识,出现过一些矛盾,但后来,我们明白了,只有协作,才能更好的完成一项工作。所以,这是我的一个很重要的收获。 回归正题,讲讲学习的收获。 首先,实验所使用的软件是:MAX+PLUSII,共完成了数字时钟及其调时,整点报时,秒表和闹钟的应用等,分别应用了文字及图形的编程方法,将所设计的电路功能下载到EPIK30TC144-1器件,以实现我们所需要的功能。 数字时钟可实现的功能 1、时、分、秒六位数码管显示(标准时间23点59分59秒); 2、具有小时、分钟校准功能; 整点报时:55,56,57,58,59低音响,整点高音,间断振铃(嘟--嘟--嘟--嘟--嘟--嘀)。

3、跑表:最大计时99秒99毫秒。独立的跑表功能,不影响数字钟正常工作。 4、定时闹钟:可在00:01到23:59之间由用户设定任一时间,到时报警。 第一课:10进制、6进制计数器 对于以上计数器,采用VHDL代码书写,(截屏如下) 图一:10进制VHDL文本 在书写VHDL文本时,应当注意文字的准确性和无错误,最值得注意的是:保存文本时应注意其文件扩展名一定要为VHD,且要注意前后文件明要保持一致。文本通过调试无错误后,接着要分配管脚, 启动MAX+PLUS~Floorplan Editor菜单命令,分别通过老师所给的管

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

教科版四年级下册科学实验报告单

小学科学四年级下册实验操作(教科版)1.体验静电现象(P2)实验目的:让学生亲身体验静电现象 实验原理带同种电荷的物体相互排斥,带异种电荷的物体相互吸引实验器材:塑料梳子或笔、碎纸屑 、用梳过干燥头发的塑料梳子慢慢接近碎纸屑,观察有什么现象发1操作步骤:生。 、用梳过干燥头发的塑料梳子再一次靠近头发,观察有什么现象发2生。实验结论:带电体能吸引轻小物体。 实验名称2 ;不一样的电荷实验目的:认识正电荷和负电荷实验器材:气球、羊毛制品、木尺 1 将两个充气气球挨着悬挂在约米长的木尺,用羊毛制品分别摩擦步骤: 两个气球相互接触部位,观察有什么现象发生实验结论:同种电荷相互排斥,异种电荷相互吸引

3实验名称:小灯泡的构造实验目的了解小灯泡的构造是怎样的实验器材小灯泡实验步骤展示小灯泡,让学生看清灯泡的构成实验结论小灯泡是由玻璃泡、灯丝、金属架、连接点构成的 4 让小灯泡发光(P5)实验目的:利用电来点亮小灯泡实验原理只有电流通过灯丝时小灯泡才会发光 实验器材:导线 1 根、电池 1 节、小电珠 1 个。实验步骤:选择连接方式使小灯泡发光。 1、导线连接小灯泡的螺纹与电池底部的锌壳,电池铜帽与小灯泡的锡粒接触,观察现象。 、导线连接小灯泡的锡粒与电池底部的锌壳,电池铜帽与小灯泡螺纹接触,2观察现象。 、导线连接电池铜帽与小灯泡螺纹,小灯泡的锡粒与电池底部的锌壳接触,3观察现象。 、整理器材。4实验结论:小灯泡亮了。 (P7)连接带灯座的电路5 实验目的:连接带灯座的电路,让小灯泡亮起来一段导线和一节电池能点亮一个小灯泡实验原理根。1 实验材料:小灯

泡、小灯座、电池、电池盒各个、导线 2 实验步骤:组装电路、在电池盒的两端各连接好一根导线,把电池正确安装在电池盒里。1 2、用连接电池的两根导线的另一端接触小灯泡,确定能使小灯泡发光。 3、将小灯泡安装在灯座上,再连接上导线---小灯泡亮了。 4、拆分器材 5、整理器材。 6 连接串联电路p8实验目的:会使用串联方法连接电路实验原理;串联是电路的一种连接方式 实验器材:电池、电池盒、灯泡、灯座各2 个、导线 4 根。操作步骤: 1、把电池装入电池盒里,把灯泡装在灯座上。 2、用导线把电池、灯泡、逐个串接法连起来。使2个小灯泡同时亮起来。 3、拆分器材 4、整理器材。实验结论:串联是电路的一种连接方式。 7 连接并联电路p8实验目的:会使用并联方式连

CPLD实验报告计数器及时序电路

内蒙古工业大学信息工程学院实验报告 课程名称: CPLD/FPGA 应用开发技术 实验名称:组合逻辑电路的设计 实验类型:验证性□ 综合性□ 设计性■ 实验室名称:信息学院机房 班级:电子09-1班学号:200920203061 姓名:张佳兴组别: 同组人:成绩: 实验日期: 2012年5月2日

预习报告成绩:指导教师审核(签名):年月日 预习报告 实验二计数器及时序电路 一、实验目的: 1、了解时序电路的VHDL语言设计方法。 2、了解同步计数器的使用方法。 3、理解时序电路和同步计数器加译码电路的联系,设计任意编码计数器。 二、实验设备: 1、PC机 2、EDA实验箱(主芯片是ALTERA EPM7128SLC84-15)。 三、实验内容: 1、用VHDL语言输入法设计一个同步四位二进制加法计数器和六进制同步计 数器。 2、用74LS161两个宏连接成八位二进制同步计数器。 3、用74LS161宏,同时采用清零和置数法组成六进制和十二进制计数器。 四、实验步骤: 1、采用文本编辑器输入VHDL语言源程序,或采用原理图输入法从MF库中 调用器件74161,生成原理图,之后建立工程。 2、编译。 3、仿真。 4、对芯片进行编程。 5、根据管脚分配情况连线。 (1)根据芯片特点,管脚分配时一般将时钟信号分配给83脚,复位信号分配给1脚。若有使能信号,使能信号分配给84脚。 (2)时钟信号的连接:将实验板上提供的时钟与芯片的83脚相连。 (3)复位信号的连接:将实验板上的某按键开关输出与芯片的1脚相连。 (4)将计数器的输出端分别与LED灯相连。 6、按动复位键,观察实验结果。 7、改变输入时钟信号的频率,观察实验结果。

CPLD与FPGA的区别

CPLD/FPGA的区别 CPLD和FPGA是20世纪80年代中后期出现的,Altera公司和Xilinx公司分别推出了类似于PAL结构的扩展型CPLD(Complex Programmable Logic Device)和与标准阵列类似的FPGA(Field Programmable Gate Array),它们都具有体系结构、逻辑单元灵活、集成度高、适用范围宽、用户可编程等特点。 利用CPLD/FPGA芯片,电子系统设计工程师可以在实验室中设计出专用IC,实现系统的集成,从而大大缩短了产品开发上市的时间、降低了开发成本。此外,CPLD/FPGA还具有静态可重复编程或在线动态重构特性,使硬件的功能可像软件一样通过编程来修改,不仅使设计修改和产品升级变得十分方便,而且极大地提高了电子系统的灵活性和通用能力。 CPLD和FPGA都是可编程的,二者有许多相似的地方,但二者也有显著的本质区别,二者之间的区别主要在于: (1)CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑,例如触发器多的电路结构适合使用FPGA,而CPLD适用于触发器少而乘积项多的电路结构; (2)CPLD的内部组成结构决定了它的时序延迟是均匀和可预测的,而FPGA的组成结构决定了它的延迟是不可预测的; (3)在编程上FPGA比CPLD更具有灵活性,CPLD通过修改具有固定内连电路的逻辑功能来编程,而FPGA主要通过改变内部连线的布线来编程; (4)CPLD比FPGA使用起来更方便。CPLD的编程采用EEPROM或FLASH 技术,掉电可以保存数据和程序,所以无需另外的外部存储器芯片来存放程序和数据,使用起来简单;而FPGA内部使用的是SDRAM,掉电后会丢失编程信息,所以需要外部存储器,使用方法复杂; (5)在编程次数上,CPLD使用EEPROM或FLASH,编程次数可达1万次左右,而FPGA使用SDRAM编程,所以其编程次数为无限次;CPLD的优点是系统掉电编程信息不丢失; (6)CPLD保密性好、FPGA保密性差; (7)一般CPLD的功耗比FPGA要高,集成度越高越明显。

数电彩灯

课程设计报告 课程名称数字电子技术 课题名称彩灯控制器 专业电气工程及其自动化 班级1284班 学号33 姓名汪文龙 指导教师张向华 2014年12月5日

湖南工程学院 课程设计任务书 课程名称:数字电子技术 题目:彩灯控制器 专业班级:电气工程及其自动化1284 班 学生姓名:汪文龙学号:33 指导老师:张向华 审批: 任务书下达日期2014年11月24日 设计完成日期2014年12月 5 日

设计内容与设计要求 一、任务与要求: 设计一个彩灯控制器,要求如下: 1.有8只彩灯(用发光二极管代替)。 2.自左至右或顺时针逐次点亮至全亮,然后逐次熄灭至全灭。 3.自右至左或逆时针逐次点亮至全亮,然后逐次熄灭至全灭。 4.闪烁,8只灯同亮、同灭、同亮、同灭。 5. 自主设计一个彩灯花样。 5. 按2、3、4、5循环工作。 二、设计要求: 1.电路设计要求思路清晰,给出整体设计框图和总电路图; 2.单元电路设计,给出具体设计思路和电路; 3.采用EWB、protous、multism中任一软件对电路进行仿真。 4.安装、调试电路; 5.写出设计报告;

主要设计条件 1.提供调试实验室; 2.提供调试面板,元件;

目录 一.设计总体思路,基本原理和框图(总电路图) (6) 1.1、总体思路: (6) 1.2基本原理 (7) 1.3总电路图 (9) 二、单元路设计电 (10) 2.1双向移位寄存器的设计 (10) 2.2二进制计数器与逻辑组合电路的设计... 错误!未定义书签。 三、仿真波形 .................................................... 错误!未定义书签。 3.1彩灯输出波形 ......................................... 错误!未定义书签。 3.2芯片74LS161连接四或门时输出波形.. 错误!未定义书签。 四、安装与调试步骤 (12) 五、故障分析与电路改进 (14) 六、总结与体会 (15) 七、附录(元器件清单) (16) 八、参考文献 (17)

四路彩灯报告

电子技术课程设计报告 设计名称:四路彩灯 姓名学号:秦江 021212224 同实验者:安煜 021212215 指导老师:卓郑安 完成学期: 2013-14(二)

一.目的意义及原理 本次课题设计的目的是: 1.共有四个彩灯,分别实现三个过程,构成一个循共12秒; 2.第一个过程要求四个彩灯依次点亮,共4秒; 3.第二个过程要求四个彩灯依次熄灭,共4秒,先亮者先灭; 4.最后四秒要求四个灯同时亮一下灭一下,共闪4下。 四路彩灯采用的是四位双向通用位移存储器74LS194,将四路彩灯接在Q0到Q4上,SR稳定接高电平,SL稳定接低点位,而DO到D3接周期为1秒的方波信号。CLK的信号频率为2Hz,这样才可以在D0到D3的一个周期内出现CLK的两个上升沿,Q0到Q3分别读到1和0 各一次,如图一。 图一 1Hz和2Hz方波信号 即正确的时钟信号在整个12秒的时间应该是前8秒为1Hz的频率,后4秒变为2Hz的频率,因此用555定时器产生2Hz的方波信号,再用D触发器分频产生1Hz的方波信号,如图二。 图二 1Hz和2Hz方波信号原理图

再来确定S1S0的信号,四种工作方式种剔除第一种S1S0为00的情况,那么S1S0应按01、10、11的顺序循环,可设为一个同步计数器,时钟周期为4秒,共三个状态。 图三四路彩灯原理图 二.Proteus仿真过程 1.元件清单 1)电源统一使用稳压源输出电压。 2)电阻阻值有一些微小变化,以元件中现有的相近阻值替代。 2.电路仿真过程和运行结果

在Proteus中画出用555产生的1Hz和2Hz方波信号仿真图,如下图所示: 图四 555产生的1Hz和2Hz方波信号仿真图 在Proteus中画出四路彩灯仿真图,点击运行,灯泡变亮。如下图所示: 图五四路彩灯仿真图 三.电路焊接与调试 在焊接开始前,首先要对元器件进行确认,是否符合要求并逐一检查是否有缺漏,然后对整个布局进行一个确认以便与焊接方便及美观。由于仿真图和原理

实验九 QPSK调制与解调实验报告

实验九QPSK/OQPSK 调制与解调实验 一、实验目的 1、了解用CPLD 进行电路设计的基本方法。 2、掌握QPSK 调制与解调的原理。 3、通过本实验掌握星座图的概念、星座图的产生原理及方法,了解星座图的作用及工程上的作用。 二、实验内容 1、观察QPSK 调制的各种波形。 2、观察QPSK 解调的各种波形。 三、实验器材 1、信号源模块 一块 2、⑤号模块 一块 3、20M 双踪示波器 一台 4、 连接线 若干 四、实验原理 (一)QPSK 调制解调原理 1、QPSK 调制 QPSK 信号的产生方法可分为调相法和相位选择法。 用调相法产生QPSK 信号的组成方框图如图12-1(a )所示。图中,串/并变换器将输入的二进制序列依次分为两个并行的双极性序列。设两个序列中的二进制数字分别为a 和b ,每一对ab 称为一个双比特码元。双极性的a 和b 脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,得到图12-1(b )中虚线矢量。将两路输出叠加,即得如图12-1(b )中实线所示的四相移相信号,其相位编码逻辑关系如表12-1所示。 (a ) a(0)b(0) b(1) a(1) (b ) 图12-1 QPSK 调制 /并变换。串/并变换器将输入的二进制序列分为两个并行的双极性序列110010*********和

111101*********。双极性的a 和b 脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,然后将两路输出叠加,即得到QPSK 调制信号。 2、QPSK 解调 图12-2 QPSK 相干解调器 由于四相绝对移相信号可以看作是两个正交2PSK 信号的合成,故它可以采用与2PSK 信号类似的解调方法进行解调,即由两个2PSK 信号相干解调器构成,其组成方框图如图12-2所示。图中的并/串变换器的作用与调制器中的串/并变换器相反,它是用来将上、下支路所得到的并行数据恢复成串行数据的。 (二)OQPSK 调制解调原理 OQPSK 又叫偏移四相相移键控,它是基于QPSK 的改进型,为了克服QPSK 中过零点的相位跃变特性,以及由此带来的幅度起伏不恒定和频带的展宽(通过带限系统后)等一系列问题。若将QPSK 中并行的I ,Q 两路码元错开时间(如半个码元),称这类QPSK 为偏移QPSK 或OQPSK 。通过I ,Q 路码元错开半个码元调制之后的波形,其载波相位跃变由180°降至90°,避免了过零点,从而大大降低了峰平比和频带的展宽。 下面通过一个具体的例子说明某个带宽波形序列的I 路,Q 路波形,以及经载波调制以后相位变化情况。 若给定基带信号序列为1 -1 -1 1 1 1 1 -1 -1 1 1 -1 对应的QPSK 与OQPSK 发送波形如图12-3所示。 1-1-11111-1-111-1111-11-111-11-1-111-11-1 基基基基I 基基Q P S K ,O Q P S K Q 基基 Q P S K Q 基基O Q P S K -1 图12-3 QPSK,OQPSK 发送信号波形 图12-3中,I 信道为U (t )的奇数数据单元,Q 信道为U (t )的偶数数据单元,而OQPSK 的Q 信道与其I 信道错开(延时)半个码元。 QPSK ,OQPSK 载波相位变化公式为 {}()33arctan ,,,()44 44j i j i Q t I t ππ?ππ? ????? =--???? ?????? ?@ QPSK 数据码元对应的相位变化如图12-4所示,OQPSK 数据码元对应相位变化如图 12-5所示

基于8255A可编程并行接口的定时器循环彩灯实验报告

基于8255A可编程并行接口的定时器实验 ——循环彩灯实验 一、实验项目 用8255A可编程并行接口芯片,PA口作为显示输出口,PB口作为开关量输入口。由8051内部定时器1按方式1工作,发光二极管显示。要求编写程序模拟循环彩灯,PB口不同的三个开关按下,彩灯以不同的形式循环点亮:模式一(开关K1控制)L1-L2-…-L8右移逐个亮,后一个点亮的同时前一个熄灭,再再左移、全亮、全灭,循环显示;模式二(开关K2控制)L1L2-L7L8两个一起亮并右移,后面亮的同时前面熄灭,再左移、全灭、全亮,循环显示:模式三(开关K3控制)L4L5-L3L6-L2L7-L1L8,后面亮的同时前面熄灭,再反向、全灭、全亮,循环显示;时序间隔0.5秒。 二、实验目的 1.学习8051内部定时器的使用、编程方法,了解8255A芯片的结构及编程方法; 2.进一步掌握中断处理程序的编写方法及8255A并行接口读取开关数据的方法。 三、实验原理 1.定时常数的确定 定时器/计数器的输入脉冲周期与机器周期一样,为振荡频率的1/12。本实验中时钟频率为12.0 MHZ,现要采用中断方法来实现0.5秒延时,要在定时器1中设置一个时间常数,使其每隔0.05秒产生一次中断,CPU响应中断后将R0中计数值减一,令R0=0AH,即可实现0.5秒延时。 时间常数可按下述方法确定: 机器周期=12÷晶振频率=12/(12×106)=1us 设计数初值为X,则(216-x)×1×10-6=0.05,可求得X=15536 化为十六进制则X=3CB0H,故初始值为TH1=3CH,TL1=B0H 2.初始化程序 包括定时器初始化和中断系统初始化,主要是对IP、IE、TCON、TMOD的相应位进行正确的设置,并将时间常数送入定时器中。由于只有定时器中断,

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师:赵玲 2015年1 月7 日

目录 一、课程设计目的 (3) 二、课程设计要求 (3) (一)、彩灯控制器设计要求 (3) (二)、课程设计总体要求 (3) 三、课程设计内容 (3) (一)、设计原理分析 (3) (二)、器件选择 (5) (三)、具体电路连线及设计思路 (6) 1、时钟控制电路 (6) 2、花色控制电路 (7) 3、花色演示电路 (8) 4、总体电路图 (10) 四、实际焊接电路板思路及过程 (11) (一)、设计思路及电路图 (11) (二)、设计及焊接过程 (11) (三)、电路板展示 (12) 五、课程设计总结与体会 (13)

一、课程设计目的 1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。 2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。从而学会使用常用集成数字芯片进行电路设计。 3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。 4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。 5.培养自己的动手能力,团队协作能力。 二、课程设计要求 (一)、彩灯控制器设计要求 设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式; 3.(选做内容)闪烁时实现快慢两种节拍的变换。 (二)、课程设计总体要求 (1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功; (3)每人独立完成一份设计报告。 三、课程设计内容 (一)、设计原理分析 1.基本原理如下:总体电路共分三大块。第一块实现时钟信号的产生和控制,利用555定时器连接电路实现该功能;第二块实现花型的控制及节拍控制,利用

实验九QPSK调制与解调实验报告

实验九Q P S K/O Q P S K调制与解调实验 一、实验目的 1、了解用CPLD进行电路设计的基本方法。 2、掌握QPSK调制与解调的原理。 3、通过本实验掌握星座图的概念、星座图的产生原理及方法,了解星座图的作用及工程上的作用。 二、实验内容 1、观察QPSK调制的各种波形。 2、观察QPSK解调的各种波形。 三、实验器材 1、信号源模块一块 2、⑤号模块一块 3、20M双踪示波器一台 4、连接线若干 四、实验原理 (一)QPSK调制解调原理 1、QPSK调制 QPSK信号的产生方法可分为调相法和相位选择法。 用调相法产生QPSK信号的组成方框图如图12-1(a)所示。图中,串/并变换器将输入的二进制序列依次分为两个并行的双极性序列。设两个序列中的二进制数字分别为a和b,每一对ab称为一个双比特码元。双极性的a和b脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,得到图12-1(b)中虚线矢量。将两路输出叠加,即得如图12-1(b)中实线所示的四相移相信号,其相位编码逻辑关系如表12-1所示。 (a) (b) 图12-1 QPSK调制 2、QPSK解调 图12-2 QPSK相干解调器 由于四相绝对移相信号可以看作是两个正交2PSK信号的合成,故它可以采用与2PSK信号类似的解调方法进行解调,即由两个2PSK信号相干解调器构成,其组成方框图如图12-2所示。图中的并/串变换器的作用与调制器中的串/并变换器相反,它是用来将上、下支路所得到的并行数据恢复成串行数据的。(二)OQPSK调制解调原理 OQPSK又叫偏移四相相移键控,它是基于QPSK的改进型,为了克服QPSK中过零点的相位跃变特性,以及由此带来的幅度起伏不恒定和频带的展宽(通过带限系统后)等一系列问题。若将QPSK中并行的I,Q两路码元错开时间(如半个码元),称这类QPSK为偏移QPSK或OQPSK。通过I,Q路码元错开半个码元调制之后的波形,其载波相位跃变由180°降至90°,避免了过零点,从而大大降低了峰平比和频带的展宽。 下面通过一个具体的例子说明某个带宽波形序列的I路,Q路波形,以及经载波调制以后相位变化情况。 若给定基带信号序列为1 -1 -1 1 1 1 1 -1 -1 1 1 -1 对应的QPSK与OQPSK发送波形如图12-3所示。 图12-3 QPSK,OQPSK发送信号波形 图12-3中,I信道为U(t)的奇数数据单元,Q信道为U(t)的偶数数据单元,而OQPSK的Q信道

相关文档
最新文档