电梯控制器.

电梯控制器.
电梯控制器.

《EDA技术》项目设计报告

题目:基于FPGA的六层电梯控制器

学院:电子与信息工程学院专业:电子信息科学与技术姓名:XXX

班级:XX电信本(X)班学号:XXXXXXXX 指导老师:XXX

二〇一四年十二月

1、绪论-------------------------------- 错误!未定义书签。

1.1电梯控制器的发展现状------------- 错误!未定义书签。

1.2本次设计的主要内容--------------- 错误!未定义书签。

1.3设计原理与思路------------------- 错误!未定义书签。

1.4硬件设计 ------------------------ 错误!未定义书签。

1.5软件设计 ------------------------ 错误!未定义书签。

2、 FPGA 硬件结构知识------------------- 错误!未定义书签。

2.1 FPGA 概述----------------------- 错误!未定义书签。

2.2 FPGA 体系结构------------------- 错误!未定义书签。

2.3 FPGA 常用芯片介绍--------------- 错误!未定义书签。

3、VHDL 硬件描述语言-------------------- 错误!未定义书签。

3.1 VHDL 语言特点------------------- 错误!未定义书签。

3.2 状态机的VHDL 实现--------------- 错误!未定义书签。

4、电梯控制器的工作原理----------------- 错误!未定义书签。

5、电梯控制系统的设计内容--------------- 错误!未定义书签。

5.1 时钟分频模块-------------------- 错误!未定义书签。

5.2 按键处理模块-------------------- 错误!未定义书签。

5.3 电梯运行控制模块---------------- 错误!未定义书签。

5.4 数码管显示模块------------------ 错误!未定义书签。

5.5 电梯超重控制可行性分析---------- 错误!未定义书签。

6、电梯控制器顶层图形------------------ 错误!未定义书签。

7、总结-------------------------------- 错误!未定义书签。

1、绪论

1.1电梯控制器的发展现状

国家规定超过六楼以上的楼层必须按要求安装电梯,而且随着超高层建筑的出现,电梯的应用越来越来广泛了,与此同时,对电梯的要求也越来越高了。目前,电梯的设计、工艺不断提高,电梯的品种也逐渐增多,电梯的材质由黑白到彩色,样式由直式到斜式,在操纵控制方面更是步步出新:手柄开关操纵、按钮控制、信号控制、集选控制、人机对话等;多台电梯还出现了并联控制、智能群控;双层轿箱电梯展示出节省井道空间,提升运输能力的优势,变速式自动人行道扶梯大大节省了行人的时间;不同外形的电梯则使身处其中的乘客的视线不再封闭。

电梯的结构分为:四大空间,八大系统;四大空间:机房部分、井道及地坑部分、轿厢部分、层站部分;八大系统:曳引系统、导向系统、轿厢、门系统、重量平衡系统、电力拖动系统、电气控制系统、安全保护系统;电梯的功能结构决定电梯的八大应用技术:

1) 全数字识别乘客技术(所有乘客进入电梯前进行识别,其中包括眼球识别、指纹识别)

2) 数字智能型安全控制技术(通过乘客识别系统或者IC 卡以及数码监控设备,拒绝外来人员进入)

3) 第四代无机房电梯技术(主机必须与导轨和轿厢分离,完全没有共振共鸣,速度可以达到2.0M/S 以上,最高可以使用在30 层以上。)

4) 双向安全保护技术(双向安全钳、双向限速器,在欧洲必须使用,中国正在被普遍使用)

5) 快速安装技术(改变过去的电梯安装方法,能够快速组装)

6) 节能技术(采用节能技术,使电梯更节约能源)

7) 数字监控技术(完全采用计算机进行电梯监控与控制)

8) 无线远程控制及报警装置(当电梯产生故障时,电梯可以通过无线装置给手机发送故障信息,并通过手机发送信号对电梯进行简单控制。)本次设计主要是控制电梯的运行模式和状态,对信号进行处理的模块,重点在对响应的信号进行处理,并将处理结果反馈给对应功能的控制端口,实现对电

梯运行的全面控制。

1.2本次设计的主要内容

随着可编程逻辑电路和EDA 技术的发展,在逻辑电路设计和嵌入式系统设计方面,以CPLD/FPGA 为代表的可编程逻辑器件已经逐步代替了传统的标准逻辑器件;本次设计的电梯控制器所有的程序可以集成在一个FPGA 开发芯片上面,不用在用其他功能的分立逻辑元件,达到集成度高、响应快、功耗低的特点。

本次设计是基于 FPGA 的电梯控制器的研究,是电梯控制的核心技术,通过电梯控制器可以对电梯运行模式和状态进行全面的控制,这也是次设计的研究重要性;电梯的层数为6 层,本次设计采用模块化设计,主要分为四大模块:时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块。

由于 FPGA 技术近些年来蓬勃发展,而且在很多领域已经应用的十分成熟,用FPGA 可以实现对电梯精确、实时性控制,而且用于FPGA 开发的芯片都是一些微处理器芯片,便于集成和智能化设计,而且大大缩短了开发周期。

1.3设计原理与思路

本次设计是实现 6 层电梯的运行控制,当在某一楼层按下上升或者下降请求按钮时,控制器响应该请求并控制电梯前往该楼层,当到达该楼层时电梯开门,当进入电梯后,电梯关门,此时按下要到达的楼层按钮,控制器响应该请求并控制电梯前往该楼层,当到达前往楼层后,电梯开门,走出电梯,然后关门......,就这样往复的实现电梯的控制功能。电梯运行时遵循如下规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行;直到最后一个上楼请求执行完毕,如有更高层有下楼请求时,则直接升到有下降请求的最高楼,然后进入下降模式,电梯处于下降模式时,则与上升相反。

电梯的输入信号主要包括外部输入信号和内部输入信号;对于电梯外部输入信号:每一层电梯门外都有上升请求和下降请求按钮,其中一楼电梯门外只有上升请求按钮,六楼电梯门外只有下降请求按钮。对于电梯内部输入信号主要有:6 个前往楼层的按钮、提前关门按钮、延时关门按钮、电梯异常按钮。

电梯输出信号也主要包括外部输出信号和内部输出信号;对于电梯外部输出信号包括上升请求按钮和下降按钮指示信号、电梯当前所在楼层指示信号、电梯运行方向指示信号。电梯内部输出信号包括6 个前往楼层按钮指示信号、超重

等警告指示信号、电梯当前所在楼层指示信号、电梯运行方面指示信号。

1.4硬件设计

本次设计主要是通过 Altera 公司生产的CycloneIII这一款芯片进行功能的实现,CycloneIII 器件采用TSMC90nm 低K 绝缘材料工艺技术,这种技术结合Altera 低成本的设计方式,使之能够在更低的成本下制造出更大容量的器件。这种新的器件比第一代Cyclone 产品具有两倍多的I/O 引脚,且对可编程逻辑的存储块和其它特性进行了最优的组合,具有许多新的增强特性。

CycloneIII 器件包含了许多新的特性,如嵌入存储器、嵌入乘法器、PLL 和低成本的封装,这些都为诸如视频显示、数字电视(DTV)、机顶盒(STB)、DVD 播放器、DSL调制解调器、家用网关和中低端路由器等批量应用进行了优化。在EDA 设计的开发板上面,支持SOPC 片上可编程,在CycloneIII 芯片旁边外围有按键模块、液晶显示模块、LED 指示灯等,通过QuartusⅡ平台将硬件描述语言下载至该芯片中,然后进行程序的调试、运行并进行功能的实现。

1.5软件设计

Altera 的FPGA 设计主要采用两种标准语言:VHDL/Verilog HDL。其中VerilogHDL 出现早,运用广泛,而且比较简单,在NIOS 项目里运用较多;而VHDL 语言功能强大,语句相对要复杂一些,所以对于现在一些复杂的可编程项目多采用VHDL语言编写。

VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL 的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分、端口)和内部(或称不可视部分),涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。如下图4-1 是VHDL 的层次模型:

图 4-1 具有不同构造体的VHDL 模型

VHDL 语言具有强大的语言结构,只需采用简单明确的VHDL 语言程序就可以描述十分复杂的硬件电路。同时,它还具有多层次的电路设计描述功能:既支持自顶向下的设计方式,也支持自底向上的设计方法;既支持模块化设计方法,也支持层次化设计方法;既可描述系统级电路,也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。VHDL 语言具有很强的移植能力,对于同一个硬件电路的VHDL 语言描述,它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中,设计人员可以建立各种可再次利用的模块,一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计,而是一些模块的累加,这些模块可以预先设计或者使用以前设计中的存档模块,将这些模块存放在库中,就可以在以后的设计中进行复用。

本次设计主要是运用 VHDL 语言进行程序的编写,采用自顶向下、模块化设计方法。按照要求可以分为:时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块;分别设计出这四个模块之后,进行调试、运行、功能仿真和时序分析,然后将生产的模块图在原理图编辑器中连接起来,组成完整的电梯控制器。

2、FPGA 硬件结构知识

2.1 FPGA 概述

FPGA(Field Programmable Gate Arry)即现场可编程门阵列,它是在PAL、

GAL、CPLD 等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点;FPGA 采用了逻辑单元阵列LCA(Logic Cell Array)这样一3个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输入输出模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。

2.2 FPGA 体系结构

FPGA 采用逻辑单元阵列(LCA,Logic Cell Array)新概念,内部包括可配置逻辑模块(CLB,Configurable Logic Block)、输入输出模块(IOB,Input Output Block)和内部互连资源(IR,Interconnect Resources)三部分组成。

1) 可配置逻辑块(CLB,Configurable Logic Block)是FPGA 的主要组成部分,主要是由逻辑函数发生器、触发器、数据选择器等电路组成。

2)输入输出模块(IOB,Input Output Block)提供了器件引脚和内部逻辑阵列之间的连接,主要是由输入触发器、输入缓冲器和输出触发、锁存器、输出缓冲器组成。

3)可编程互连资源(IR,Interconnect Resources)可以将FPGA 内部的CLB 和CLB 之间、CLB 和IOB 之间连接起来,构成各种具有复杂功能的系统,IR主要由许多金属线段构成,这些金属线段带有可编程开关,通过自动布线实现各种电路的连接。

2.3 FPGA 常用芯片介绍

目前世界上有很多生产FPGA 芯片的公司,常用的主要有:Altera、XIlinx、Lattice和Actel,其中Altera 和XIlinx 占据主流市场。

1) Altera 的主流FPGA 分为两大类:一种是侧重低成本、容量中等、性能可以满足一般逻辑设计要求的,如Cyclone 系列;另一种是侧重高性能、容量大、性能可以满足各类高端应用,如Stratix 系列。

2) XIlinx 是FPGA发明者,是老牌PLD,产品的种类较全,主要有:

XC9500/4000、Cool Runner(XPLA3)、Spartan、Virtex 等系列。

3) Lattice 是在系统编程(ISP)技术的发明者,主要有:

ispLSI2000/5000/8000、MACH4/5、ispMACH4000 等系列。

4) Actel 公司是世界反熔丝技术的FPGA 领先供应商,主要有两大系列的反熔丝FPGA 产品SX-A 和MX 高速系列。

3、VHDL 硬件描述语言

3.1 VHDL 语言特点

VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)是一种标准的硬件描述语言,中文意思是超高速集成电路硬件描述语言;利用VHDL 进行系统行为级设计已经成为FPGA 与ASIC 设计的主流,使用VHDL 不仅可以快速的描述和综合FPGA 设计,还可以提供一下的一些性能。

1) 功能强大且很具有灵活性,VHDL 具有很强大的语言结构,可以用简洁明确的代码描述复杂的控制逻辑,语言很灵活,支持设计库和可重复使用的元件生成。

2) 不依赖于器件的设计,VHDL 允许设计者生成一个设计而不需要首先选择一个用来实现设计的器件,对于同一个设计描述,可以采用多种不同的器件结构来实现其功能。

3) 具有可移植性,因为VHDL 是一种标准的语言,所以它可以被不同的工具所支持,这意味着同一个VHDL 设计描述可以在不同的设计项目中采用

4) 可以对其设计性能进行评估,设计者可以进行一个完整的设计描述,并对其进行综合,生产选定的器件结构的逻辑功能,然后评估结果,选用最适合设计需求的器件。

5) 上市时间快、成本低、开发周期短,VHDL 语言的设计将大大提高数字单片化设计实现的速度,为生产者大大节约开发成本。

3.2 状态机的VHDL 实现

有限状态机以及其设计技术是使用数字系统设计中的重要组成部分,也是实现高效率、高可靠和高速控制逻辑系统的重要途径,广义而论,只要是涉及到触发器的电路,都属于状态机,由此也可以看出其重要性。用VHDL 设计的状态机根据不同的标准可以分为不同的形式:

1) 从状态机的信号输出方式上分:Mealy 型和Moore 型;

2) 从状态机的描述结构上分:单进程状态机和多进程状态机;

3) 从状态机表达形式上分:符号化状态机和确定状态编码状态机;

4) 从状态机编码方式上分:顺序编码状态机、一位热编码状态机和其他编码方式状态机。

实际设计过程中,接触最多的分类方法就是 Mealy 型和Moore 型;从输出时序上看,前者是异步输出,后者是同步输出。Mealy 型状态机的输出是当前状态和所有输入信号的函数,它的输出是输入变化后立即变化的,不依赖时钟的同步。Moore 型状态的输出仅为当前状态的函数,但是在输入发生变化时还必须等到时钟的到来,输出才会变化,由此可见,Moore 型要多等待一个时钟周期。

本次设计中,电梯控制模块部分就利用Moore 型状态机的方法实现对电梯运行状态的同步控制,通过将电梯运行过程分解为一些实质性的状态来进行转换,使得电梯的运行变得方便、快捷、稳定。

4、电梯控制器的工作原理

本次设计是实现 6 层电梯的运行控制,当在某一楼层按下上升或者下降请求按钮时,控制器响应该请求并控制电梯前往该楼层,当到达该楼层时,电梯开门,当进入电梯后,电梯关门,此时按下要到达的楼层按钮,控制器响应该请求并控制电梯前往该楼层,当到达前往楼层后,电梯开门,走出电梯,然后关门......,就这样往复的实现电梯的控制功能。

在电梯运行时遵循如下规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行;直到最后一个上楼请求执行完毕,如有更高层有下楼请求时,则直接升到有下降请求的最高楼,然后进入下降模式,电梯处于下降模式时,则与上升相反。

电梯的输入信号主要包括外部输入信号和内部输入信号;对于电梯外部输入信号主要有:每一层电梯门外都有上升请求和下降请求按钮,其中一楼电梯门外只有上升请求按钮,6 楼电梯门外只有下降请求按钮。对于电梯内部输入信号主要有:6 个前往楼层的按钮、提前关门按钮、延时关门按钮、电梯异常按钮。

电梯输出信号也主要包括外部输出信号和内部输出信号;对于电梯外部输出信号包括上升请求按钮和下降按钮指示信号、电梯当前所在楼层指示信号、电梯运行方向指示信号。电梯内部输出信号包括6 个前往楼层按钮指示信号、超重等警告指示信号、电梯当前所在楼层指示信号、电梯运行方面指示信号。

5、电梯控制系统的设计内容

本次设计是基于 FPGA 的电梯控制器的研究,是电梯控制的核心技术,通过电梯控制器可以对电梯运行模式和状态进行全面的控制,这也是次设计的研究重要性;电梯的层数为6 层,本次设计采用模块化设计方法,主要分为四大模块:时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块。其方框原理图5-0 如下:

图5-0 电梯控制器原理图

5.1 时钟分频模块

时钟分频模块功能是将系统频率分频为两种不同的频率,一个是2 分频时钟供电梯运行控制模块使用,另一个8 分频时钟供其他模块使用,其源代码如下:

时钟分频模块:clkdiv.vhd

LIBRARY ieee;

USE ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all; --定义所用的标准库

ENTITY clkdiv IS --时钟分频寄存器(CLKDIV)

PORT(

clk : in out std_logic; --系统时钟频率

clk_2hz: out std_logic; --2分频脉冲(作为电梯控制时钟

clk_8hz: out std_logic --8分频脉冲(作为按键处理时钟));--定义输入输出变量

end clkdiv;

ARCHITECTURE behave OF clkdiv IS

signal cnt:std_logic_vector(7 downto 0); --定义一个8 位的变量

begin process (clk) --时钟分频进程

begin

if clk'event and clk='1' then --时钟脉冲上升沿有效

cnt <= cnt + 1;

clk_8hz <= cnt(1); --变量cnt 的第1 位输出2 分频

clk_2hz <= cnt(4); --变量cnt 的第3 位输出8 分频

end if;

end process;

end behave;

源程序编写完成后,进行调试、运行并生成模块文件,确认没有错误之后进行功能仿真、时序分析。其模块原理图5-1 如下:

图5-1 时钟分频模块原理图

5.2 按键处理模块

按键处理模块的功能是把电梯外部与内部的按键信号进行处理,把处理好的按键信号送到电梯运行控制模块;根据电梯外部按键信号转化为电梯运行控制模块的外部请求信号,根据电梯内部的按键信号转化为电梯运行控制模块的电梯前往信号,根据电梯楼层信号及时清除电梯外部与内部按键信号。其源代码编写如下:

按键处理模块:keypro.vhd

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity keypro is

port ( clk: in std_logic; --按键时钟

reset: in std_logic; --异步置位按键

fup: in std_logic_vector(5 downto 1); --上升请求按键(低电平有fdn: in std_logic_vector(6 downto 2); --下降请求按键(低电平有效) fuplight: o ut std_logic_vector (6 downto 1);--电梯外部上升请求指示灯

fdnlight: o ut std_logic_vector (6 downto 1);--电梯外部下降请求指示灯

st: in std_logic_vector (6 downto 1); --电梯内部各层请求按键stlight: out std_logic_vector (6 downto 1);--电梯内部各层请求指示灯

position: in integer range 1 to 6 ; --电梯位置指示

clearup:in std_logic;--用于清除上升请求指示灯的信号

cleardn:in std_logic--用于清除下降请求指示灯的信号

);

end keypro;

architecture behave of keypro is--控制按键信号灯进程

begin

process(reset,clk)

begin

if reset = '0' then

stlight <= "000000";

fuplight <= "000000";

fdnlight <= "000000";

else

if clk'event and clk='1' then

--清除电梯当前外部上升请求信号和电梯内部前往该楼层信号if clearup = '1' then

stlight(position) <= '0';

fuplight(position) <= '0';

else

fuplight <=(not fup) & '0';

stlight <= not st;

end if;

--清除电梯当前外部下降请求信号和电梯内部前往该楼层信号

if cleardn = '1' then

stlight(position) <= '0';

fdnlight(position) <= '0';

else

fdnlight <= '0' & (not fdn);

stlight <= not st;

end if;

end if;

end if;

end process;

end behave;

源程序编写完成后,进行编译、运行并生成模块文件,确认没有错误之后进行波形仿真、时序分析。其模块原理图5-2 如下:

图 5-2 按键处理模块原理图

5.3 电梯运行控制模块

电梯运行控制模块是此次设计的核心,电梯运行的模式和状的态控制效果完全取决于电梯控制模块,它的功能是在系统2 分频时钟下,根据按键处理模块

输出的信号,控制电梯上升与下降运行模式和状态,当电梯关门时输出相应的上升与下降清除信号,同时输出电梯当前所在楼层的数码管输出信号与电梯运行方向,本次是设计的6层电梯控制系统,在源程序中具体要体现一下功能:

1) 每层电梯(除1 楼和6 楼外,1 楼只有上升请求按钮,6 楼只有下降请求按钮)入口出设置有上升和下降请求按钮,电梯内部设有要到达楼层的请求按钮1-6 楼。

2) 设有电梯所处位置数码管显示部分,电梯运行模式的LED 显示部分。

3) 电梯能记忆电梯外部所有信号请求,并按照电梯运行规则进行顺序响应,每个电梯请求信号保留到电梯响应结束。

4) 电梯运行规则:当电梯上升时候,只响应比电梯所在楼层高的上楼信号,由下到上依次执行,直到最后一个上升请求信号执行完毕,当电梯下降时候,只响应比电梯所在楼层低的下楼信号,由上到下依次执行,直到最后一下下降请求信号执行完毕。

电梯运行控制模块的源程序如下:

电梯运行控制模块:elevrun.vhd

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity elerun is

port (clk:in std_logic; --电梯时钟

alarm:in std_logic;

reset:in std_logic; --异步置位按键

fuplight:in std_logic_vector (6 downto 1);--电梯外部上升请求指示灯

fdnlight:in std_logic_vector (6 downto 1);--电梯外部下降请求指示灯

stlight:in std_logic_vector (6 downto 1); --电梯内部各层请求指示灯

position:out integer range 1 to 6; --电梯位置指示

doorlight:out std_logic; --电梯门开关指示灯

clearup:out std_logic;--用于清除上升请求指示灯的信号

cleardn:out std_logic;--用于清除下降请求指示灯的信号

yanshi:in std_logic;

tiqian:in std_logic;

udsig:buffer std_logic_vector(7 downto 0) --电梯升降指示

);

end elerun;

architecture sixflift of elerun is

type lift_state is

(stopon1,dooropen,doorclose,doorwait1,doorwait2,doorwait3, doorwait4,doorwait5,doorwait6,up,down,stop);

signal mylift: lift_state;

signal pos: integer range 6 downto 1;

signal udflag :std_logic;

signal posreg :integer range 1 to 6;

signal one: std_logic_vector (6 downto 1);

begin

process(reset,clk) --控制电梯状态的进程

begin

if (reset = '1' or alarm = '1') then

mylift <= stopon1;

clearup <= '1';

cleardn <= '1';

else

if (clk 'event and clk = '1') then

case mylift is

when stopon1 =>

doorlight <= '0';

posreg <= 1;

mylift <= doorwait1;

clearup <= '0';

cleardn <= '0';

udsig <= "00000010";

when doorwait1 =>

if tiqian = '1' then

mylift <= doorclose;

elsif yanshi = '1' then

mylift <= doorwait1;

else

mylift <= doorwait2;

end if;

clearup <= '0';

cleardn <= '0';

when doorwait2 =>

if tiqian = '1' then

mylift <= doorclose;

elsif yanshi = '1' then

mylift <= doorwait1;

else

mylift <= doorwait3;

end if;

clearup <= '0';

cleardn <= '0';

when doorwait3 =>

if tiqian = '1' then

mylift <= doorclose;

elsif yanshi = '1' then

mylift <= doorwait1;

else

mylift <= doorwait4;

end if;

clearup <= '0';

cleardn <= '0';

when doorwait4 =>

if tiqian = '1' then

mylift <= doorclose;

elsif yanshi = '1' then

mylift <= doorwait1;

else

mylift <= doorwait5;

end if;

clearup <= '0';

cleardn <= '0';

when doorwait5 =>

if tiqian = '1' then

mylift <= doorclose;

elsif yanshi = '1' then

mylift <= doorwait1;

else

mylift <= doorwait6;

end if;

clearup <= '0';

cleardn <= '0';

when doorwait6 =>

mylift <= doorclose;

cleardn <= (not udflag);

clearup <= udflag;

when doorclose =>

doorlight <= '0';

clearup <= '0';

cleardn <= '0';

if posreg = 6 then

if (stlight = "000000" and fuplight = "000000" and fdnlight = "000000") then

mylift <= doorclose;

udsig <= "00000010";

elsif stlight > "000000" or fdnlight > "000000" or fuplight > "000000" then

mylift <= down;

udsig<="01111010";

udflag <= '1';

end if;

elsif posreg = 1 then

if (stlight = "000000" and fuplight = "000000" and fdnlight = "000000") then

mylift <= doorclose;

udsig <= "00000010";

elsif stlight > "000000" or fdnlight > "000000" or fuplight > "000000" then

mylift <= up;

udsig<="01111100";

udflag <= '0';

end if;

else

if (stlight = "000000" and fuplight = "000000" and fdnlight = "000000") then

mylift <= doorclose;

udsig <= "00000010";

elsif stlight >= (one + one) or fuplight >= (one + one) or fdnlight >= (one + one) then

mylift <= up;

udsig<="01111100";

udflag <= '0';

elsif (stlight + stlight) <= one or (fuplight + fuplight)

<= one or (fdnlight + fdnlight) <= one then

mylift <= down;

udsig<="01111010";

udflag <= '1';

else

mylift <= doorclose;

end if;

end if;

when up =>

clearup <= '0';

cleardn <= '0';

if posreg < 6 and (stlight(posreg) = '1' or fuplight(posreg) = '1' or (stlight = "000000" and fdnlight(posreg) = '1'))

then mylift <= stop;

if (stlight = "000000" and fdnlight(posreg) = '1' and fuplight = "000000") then

udflag <= '1';

end if;

elsif posreg = 6 and (stlight(posreg) = '1' or fuplight(posreg) = '1' or ( fuplight = "000000" and fdnlight(posreg) = '1'))

then mylift <= stop;

elsif posreg = 6 and ( fdnlight > "000000" or fuplight > "000000") then

mylift <= stop;

else

mylift <= up;

udsig<="01111100";

udflag <= '0';

if posreg<6 then

posreg <= (posreg+1);

end if;

end if;

when down =>

clearup <= '0';

cleardn <= '0';

if posreg > 1 and (stlight(posreg) = '1' or fdnlight(posreg) = '1' or ( stlight = "000000" and fuplight(posreg) = '1' ))

then mylift <= stop;

if (stlight = "000000" and fuplight(posreg) = '1' and fdnlight = "000000") then

udflag <= '0';

end if;

elsif posreg = 1 and (stlight(posreg) = '1' or fdnlight(posreg) = '1' or ( fdnlight = "000000" and fuplight(posreg) = '1'))

then mylift <= stop;

elsif posreg = 1 and ( fdnlight > "000000" or fuplight > "000000") then

mylift <= stop;

else

mylift <= down;

udsig<="01111010";

udflag <= '1';

if posreg>1 then

posreg <= (posreg-1);

end if;

end if;

when stop =>

mylift <= dooropen;

clearup <= '0';

cleardn <= '0';

when dooropen =>

doorlight <= '1';

clearup <= '0';

cleardn <= '0';

mylift <= doorwait1;

when others =>

mylift <= doorwait1;

clearup <= '0';

cleardn <= '0';

end case;

end if;

end if;

end process ;

position <= posreg;

process(clk,posreg)

begin

if clk 'event and clk = '1' then

case posreg is

when 1 => one <= "000001";

when 2 => one <= "000010";

when 3 => one <= "000100";

when 4 => one <= "001000";

when 5 => one <= "010000";

when 6 => one <= "100000";

end case;

end if;

end process ;

end ;

电梯控制运行模块源程序编写完成后,进行编译、运行并生成模块文件,确认没有错误之后进行波形仿真、时序分析。其模块原理图5-3 如下:

图 5-3 电梯运行控制模块原理图

5.4 数码管显示模块

数码管显示模块的功能主要是把楼层信号转化为数字信号,通过数码管进行显示,显示电梯运行到的楼层指示,本次设计用的是共阴极数码管,其源程序如下:

数码管显示模块:floorled.vhd

library ieee;

use ieee.std_logic_1164.all;--定义所用的标准库

entity floorled is

port( clk : in std_logic;--系统时钟频率

position :in std_logic_vector(2 downto 0);--电梯位置变量

posled : out std_logic_vector(7 downto 0)--电梯楼层显示变量); --定义输入输出变量

end floorled;

architecture behave of floorled is

begin

process(clk)--时钟分频进程

begin

if clk 'event and clk = '1' then--时钟脉冲上升沿有效

case position is

when "001" => posled <= "01100000";--显示数字1

when "010" => posled <= "11011010";--显示数字2

when "011" => posled <= "11110010";--显示数字3

when "100" => posled <= "01100110";--显示数字4

when "101" => posled <= "10110110";--显示数字5

when "110" => posled <= "10111110";--显示数字6

when others=>posled <= "01100000"; --显示数字1

end case;

end if;

end process ;

end behave;

数码管显示模块源程序编写完成后,进行编译、运行并生成模块文件,确认没有错误之后进行波形仿真、时序分析。其模块原理图5-4 如下:

图 5-4 数码管显示模块原理图

一种矢量伺服电梯门机控制器说明书

CABR Construction Machinery Technology Co.,Ltd 矢量伺服门控制器 用户手册 CABR-SCSE-01矢量伺服门控制器 200V级 为了安全使用本产品,请务必阅读该说明书,理解使用方法后,正确使用。请妥善保管该说明书,并将其交至最终用户手中。 LangFang CABR Construction Machinery Technology Co.,Ltd.

前言 感您使用CABR-SCSE系列矢量伺服门控制器! 本使用说明书详细叙述了关于CABR-SCSE系列矢量伺服门控制器在安装、运行过程中的相关使用指导及注意事项。 在使用前,请认真阅读本使用说明书,理解并掌握使用方法后,正确使用。

拿到产品时确认 ●受损或缺少部件的矢量伺服门控制器,切勿安装! 注意 ●搬运时,请托住机体底部。 ●请安装在金属等阻燃物体上。 ●请不要安装在可燃物附近。 ●安装过程中,请避免碎棉纱、纸、木屑、金属、液体等异物侵入矢量伺服门 控制器或附着在矢量伺服门控制器上。 危险 ●请勿拆卸矢量伺服门控制器的外壳,有高压,有触电危险! ●接线前,请确认输入电源是否处于断开状态! ●接线作业必须由电气工程人员进行! ●接地端子请一定要可靠接地! 警告 ●请确认供电电源的电压与矢量伺服门控制器的额定电压是否一致,否则可能 损坏设备或引发火灾! ●请勿对矢量伺服门控制器进行耐电压试验! ●请勿将供电电源线接到矢量伺服门控制器的U、V、W端子上! ●通电中,请勿变更接线或拆装端子!

目录 一、矢量伺服门控制器简介 (1) 1、矢量伺服门控制器型号和铭牌的确认 (1) 2、产品的外观 (2) 二、安装说明 (3) 1、使用环境 (3) 2、安装 (3) 3、螺钉规格 (4) 4、配线注意事项 (4) 5、主电路、接地端子的配线 (5) 6、控制电路端子的配线 (5) 7、通讯端子的配线 (5) 三、操作说明 (6) 1操作面板各部分的名称和功能 (7) 2电机参数调谐 (8) 3全闭环位置控制 (9) 4门宽自学习 (10) 5运行曲线调整 (11) 6正常运行和试运行 (12) 7 堵转报警调整 (12) 8力矩调整 (12) 9 速度调整 (13) 10 收放门刀微调 (13) 11功能代码 (13) F1.基本参数 (13) F2.距离参数 (13) F3.速度参数 (14) F4.时序参数 (14) F5.扭矩参数 (14) U1.状态监视 (15) U2.端子监视 (15) U3.故障记录 (16) U4.当前故障信息记录 (16) OP:系统操作参数 (17) 12故障诊断及对策 (17)

日立电梯控制技术介绍

电梯控制技术介绍 一、电梯技术要求 1、电梯性能指标 2、电梯型号 二、电梯机械产件整体简单介绍

电梯系统的组成情况基本相同,主要由曳引系统、导向系统、轿厢系统、门系统、对重装置系统、安全保护系统、电气控制及信号系统等组成。其中UAX无机房电梯将曳引机、控制柜及限速器置于井道内,取消了专用的机房设置。 NPX、NPH、GVF、NF电梯的整体结构图——见有机房电梯整体结构图 UAX电梯的整体结构图——见无机房电梯整体结构图 1、曳引系统: 功能:输出与传送动力,使电梯运行。 组成:主要由曳引机、曳引绳、导向轮、反绳轮等组成。 曳引机:包括电动机、制动器和曳引轮在内的靠曳引绳和曳引轮槽摩擦力驱动或停止电梯的装置。 曳引绳:连接轿厢和对重装置并靠与曳引轮槽的摩擦力驱动轿厢升降的专用钢丝绳。 导向轮:为增大轿厢与对重之间的距离,使曳引绳经曳引轮再导向对重装置或轿厢一侧而设置的绳轮。 反绳轮:在轿架和对重框架上部的动滑轮。根据需要曳引绳绕过反绳轮可以构成不同的曳引比。 2、导向系统: 功能:限制轿厢和对重的活动自由度,使轿厢和对重只能沿着导轨作升降运动。 组成:由导轨、导靴和导轨支架组成。 导轨:供轿厢和对重运行的导向部件,由钢轨和连接板组成。 导靴:设置在轿架和对重装置上,使轿厢和对重装置沿导轨运行的导向装置。 导轨支架:固定在井道壁或横梁上,支撑和固定导轨用的构件。 3、轿厢: 功能:用以运载乘客或其他载荷的轿体部件。 组成:由轿架和轿厢组成。 轿架:固定和支撑轿厢本身和运载重量的承重框架,一般由上梁、立柱、底梁等组成。

轿厢:是电梯的工作容体,具有与载重量和服务对象相适应的空间。由轿底、轿壁、轿顶、装饰顶等组成。 4、门系统: 功能:封住层站出入口和轿厢出入口。 组成:由轿门、层门、开门机、门套等组成。 轿门:设在轿厢入口的门,由门板、轿门导轨架、轿厢地坎等组成。 层门:设在层站入口的门,又称厅门,由门板、层门导轨架、层门地坎、层门联动机构等组成。 开门机:使轿厢门和层门开启或关闭的装置。 门套:装饰层门门框的部件,主要起定位及保证与门扇之间的间隙符合安全标准要求的作用。 5、对重装置系统: 功能:用来平衡全部轿厢质量和一部分额定载重量。 组成:由对重块和对重框架等组成。 对重装置:由曳引绳经曳引轮与轿厢相连接,在运行过程中起平衡作用的装置。 6、安全保护系统: 功能:保证电梯安全使用,防止一切危及人身安全的事故发生。 组成:主要由限速器、安全钳、缓冲器、门锁等组成。 限速器:当电梯的运行速度超过额定速度一定值时,其动作能导致安全钳起作用的安全装置。 安全钳:限速器动作时,使轿厢或对重停止运行保持静止运行状态,并能夹紧在导轨上的一种机械安全装置。 缓冲器:位于行程端部,用以吸收轿厢动能的一种弹性缓冲安全装置。 门锁装置:轿门与层门关闭后锁紧,同时接通控制回路,轿厢方可运行的机电联锁安全装置。 极限开关:当轿厢运行超越端站停止装置时,在轿厢或对重装置未接触缓冲器之前,强迫切断主电源和控制电源的非自动复位的安全装置。

荣达施工电梯说明书

荣达施工电梯说明书 篇一:荣达物业之景和园 景和园物业服务方案 一、服务标准 1、公共服务:服务人员佩戴胸牌胸卡,仪表端庄、大方,衣着整洁,表情自然和蔼,亲切;在岗时不看书报,不打瞌睡,履行职责,坚守岗位,姿势端正,精神饱满,不迟到早退,不闲聊;对业主一视同仁,接待时主动热情、规范,耐心细致;迎送时用语准确,称呼恰当,问候亲切,语气诚恳;来客登记详细认真,交待事项仔细,工作环境整洁有序。 2、公用设施、设备及共用公共部位的巡视监管 巡检房屋主体结构,物业共用部位、设施设备、道路、绿地等要做到掌握完损状况;对路灯,单元门、楼梯间通道及门窗、玻璃要经常查看;电梯内的墙面和地面,灯饰及轿厢顶部,控制柜、主机表面,电梯机房消防设施要常巡视,时刻关注电梯井底是否有水流入;各层通道和楼梯台阶,各层和通道的防火门、消防栓、玻璃箱内侧、地脚线、指示牌等公共设施是否洁净。 巡查人行道无垃圾、无杂物、积水、积雪、污渍、泥沙、烟头、纸屑;地面垃圾滞留;目视灯具无灰尘,灯具内无蚊虫,灯盖、灯罩、灯座明亮清洁。绿化带、草地上垃圾,草地、绿化带上的烟头,棉签等小杂物,秋冬季节或落叶,花

坛表面洁净外观整洁。 巡视休闲、娱乐、健身设施表面干净、无灰尘污渍、锈迹,目视游乐场内及其周围无果皮、纸屑等垃圾;垃圾桶、果皮箱周围地面无散落垃圾、无污水、无明显污迹,目视无污迹、无油迹,无异味;草地有无开花杂草,有无明显阔叶杂草;人工湖、喷水池洁净是否正常使用。 二、服务规范(一)物业管理中心 日常接待的服务规范 1、为业主办理入住服务的接待 ①验明客户资料:业主本人办理需要的证件:业主身份证、入住通知单、 房屋买卖契约;业主委托他人代为办理时:除上述资料外,还需提供委托人身份证及委托书;单位购买时:除上述资料处,还需提供单位证明及个人身份证明。 ②填写资料或签署协议:填写《住户登记表》;签订相关规定或协议。 ③收取费用:物业管理费及其他相关费用。 ④发放物品并确认:房屋钥匙、《住户手册》及相关资料。 ⑤房屋验收及整改:维修专业人员携带相关器具指导业主(住户)按“房 屋质量验收登记表”逐项检查验收:查抄水表、电表、

电梯控制器设计

单片机原理与应用技术课程设计报告(论文) 基于单片机的电梯控制器的设计 专业班级:电子132班 姓名:耿彦 时间:11.30——12.20 指导教师:苏珂珂 2015 年 12 月 18 日

电梯控制器课程设计任务书 1.设计目的与要求 1.1 基本功能 (1)显示:本设计要求实现6层控制,实时显示电梯所在楼层位置。 (2)升降控制:采用一台电动机的正反转来实现电梯的升降。 (3)具备不可逆响应的功能: 电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向呼叫均无效。 1.2 扩展功能 (1)可增加人性化的按键语音服务功能。 (2)可增加遥控或感应操作功能。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

基于单片机的电梯控制器设计 电子132 耿彦 摘要:单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中52单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中常用的交通工具。本设计选择AT89C52为核心控制元件,设计了一个六层电梯系统,使用C语言进行编程,实现运送乘客到任意楼层,并且实时显示电梯的楼层和电梯上下情况。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词:电梯、AT89C52 、LED显示、电机正反转控制、楼层显示 1 引言 随着人们生活水平的不断提高和国名经济的迅速发展。各大城市建筑物在不断向高层化发展。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。因此电梯控制技术也在不断的进步和完善,常用的控制技术主要的有两种技术:基于PLC控制和基于单片机控制两大技术。用PLC控制的电梯性能可靠、稳定,但是造价太高。基于单片机控制的电梯可以大大的降低成本而且运行也较可靠,所以现在电梯控制中大多数采用单片机控制。 本文基于单片机89SC51来控制各部分电路,采用单片机构成控制系统,可大大降低成本,而且做成专用控制系统,程序被固化,加强了保密性,提高了可靠性。 2 总体设计方案 电梯控制系统由外部呼叫,内部呼叫,电机控制电路,楼层显示部分等组成。电梯在各楼层的定位本应采用行程开关或者传感器,由于条件限制,采用延时控制。相邻楼层间升降时间设为5秒。 2.1 设计思路 本设计的总思想是,用开关按钮做单片机的控制端来做作为输入信号,说明人在那一层,用七段数码管来显示电梯位置。当电梯到达要求的楼层时,停止、开门,并继续查询有无呼叫信号,如此循环,同时可以利用单片机外部的复位按钮使电梯复位。用单片机来控制电机的正反转来运行电梯的上、下,用发光二极管来显示电梯是上升还是下降,并且整体电梯全部采矩阵键盘来实现。由于设计中有不可逆控制,当上升或下降过程中,只相应同方向的呼叫相应。 2.2 总体设计框图 本电路主要由5大部分电路组成:键盘电路、单片机最小系统电路、楼层显示电路、电机状态显示电路、电机控制电路。其中单片机最小系统主要由复位电路和时钟电路组成。电路复位后楼层显示数字1 表示电梯此时在一楼,显示电路通过74ls245串入并出驱动8位数码管显示,电梯楼层位置是由延时电路控制的,每层之间通过3秒延时控制即每延时3秒表示电梯走了一层。电梯状态是通过两个发光管显示的,绿灯亮表示电梯在向上运行,黄灯亮表示电梯在向下运行。键盘电路采用4×4矩阵键盘(共16个按键),其中10个按键是各层楼外呼按键,6个表示电梯内部的选择键。电梯的正常工作是通过对单片机写入程序控制的。总体设计方框图如图一所示:

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

最新华起电梯控制器使用手册

华起电梯控制器使用 手册

建筑智能化解决方案资料

建筑智能化解决方案 电梯控制器使用手册 (第一版)

第1章产品功能介绍 1.1 产品简介 本电梯控制器采用非接触式IC/ID 卡感应技术进行身份识别,可实现人员进出电梯的控制。支持WG读头和485读头,当持卡人进入电梯后,在感应器上刷卡,电梯控制器判断此卡是否有权限、时限,如果有权则允许登梯,无权不能登梯。对于只有单层权限的用户,刷卡后无须按键,电梯直达用户有权限的那一楼层,对于具有多层权限的用户,刷卡后电梯控制器释放用户有权限的楼层按键,等待用户按键(等待时间5—6秒)。电梯控制器主板可控制16个楼层,增加一块扩展板可增加控制16个楼层,总共可控制32个楼层,如果楼层在16层以内,就不需要增加扩展板。本电梯控制器与计算机之间采用RS-485 方式通讯,还可与中继器配合使用,灵活组成不同应用规模的电梯控制系统。 1.2 产品功能特点 ?读头支持WG读头和485读头,WG读头接口,能够智能识别标准WIEGAND 26/32/40协议格式,485读头接口只能接公司生产的485读头,读头接口提供5VDC 或12VDC 读头工作电源,兼容性强; ?兼容多种技术生物识别技术、指纹识别技术、密码键盘、感应式IC、ID卡;?乘梯验证方式验证权限时限乘梯,无权或者不在有限时限内不能乘电梯;?远程控制可以远程控制电梯到达某一层; ?时限灵活设置支持256个时间段,16个时间组,128个节假日; ?定时启用、关闭电梯控制器支持非节假日定时启用、关闭电梯控制器,在关闭状态下无须刷卡即可乘电梯;

?消防联动接收一路常闭消防联动输入信号,当消防信号启动后,电梯控制器屏蔽刷卡功能,电梯恢复到原状态,即不需要刷卡就可以乘电梯(提供的消防信号应该是无源的干接点信号),消防信号输入端口在出厂时已短接; ?脱机工作采用“分散控制、集中管理”的原则设计,设定好控制器的参数、权限、时限后,各控制器可脱离通讯网络和管理计算机单独运行,可靠性高; ?掉电保护采用先进的NRAM 实时时钟模块,掉电后时钟不紊乱,记录数据采用EEPROM存储,掉电后数据可保存10 年不变; ?双隔离电源配有专用电源,两组独立回路供电,内部电路和外部电路使用不同电源,稳定性好,抗干扰性强,可靠性高; ?有源隔离通讯通讯接口采用有源隔离方式,减少通讯干扰; 第2章产品说明 2.1 系统结构 TCP/I

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

SC200施工升降机使用说明书

SC200/200 施工升降机 使用说明书

目录 1.概述及特点……………………………………………… 2.性能参数表……………………………………………… 3.构造原理简介…………………………………………… 4.升降机的安装…………………………………………… 5.升降机使用前的检查…………………………………… 6.升降机的操作…………………………………………… 7.升降机的润滑…………………………………………… 8.升降机的保养和维护…………………………………… 9.调整及磨损极限………………………………………… 10.吊笼坠落实验……………………………………… 11.安全器的复位………………………………………… 12.升降机的拆卸………………………………………… 13.电气系统……………………………………………… 14.电器及机械常见故障与分析………………………… 15.主要易损件明细表…………………………………… 16.主要外购件明细表……………………………………

一.概述及特点 SC200/200施工升降机是中国建筑科学研究院建筑机械化分院设计,有我厂开发生产的新一代施工升降机产品,它具有技术性能先进,使用安全可靠,维护保养方便等显著特点。 该施工升降机广泛建筑施工领域,如工业与民用建筑,桥梁施工,井下施工,大型烟囱施工及船泊工业场所,适用垂直运输物料及人员,作永久性或半永久性的电梯还可用于仓库,高塔等不同场合。 该施工升降机其它特点如下: 1.安全保护装置齐全,设有防坠安全器,短路保护,缺相保护,断相保护,吊笼上,下限位及极限开关,底笼门配有电器及机械连锁保护等,确保升降机安全运行。 2.运行平稳,乘坐舒适。由于本机将驱动单元置于笼顶上方,使笼顶内净空增大;同时也使传动更加平稳,机械震动变小,给施工操作员带来一个舒适宽敞的环境。 3.传动装置采用三电机、三减速机,两套装置各自独立,每台电机均装有直流电磁制动器,且制动摩擦附有自动跟踪,调整制动间隙的功能,从而改善制动性能,使其平稳可靠;减速机为平面两次包络环面,涡轮杆减速机,具有体积小,效率高,承载能力大,使用寿命长,其使用寿命为普通涡轮付的4-5倍。 1.电气线路简单,操作维护方便,故障率低。 三、构造原理简介

智能电梯控制器的设计

电子技术课程设计报告 智能电梯控制器的设计 班级自动化1306 学号 姓名 日期2016-6-20

目录: 一.课程设计题目 (3) 二.问题分析 (4) 1.方向优先的电梯调度 (4) 2.电梯的状态 (4) 3.状态编码方案 (4) 三.状态机 (5) 四.系统输入与输出 (6) 五.系统框图与系统设计 (7) 1.系统框图 (7) 2.系统设计 (8) 六.波形仿真 (9) 1.请求处理 (9) 2.状态转换 (10) 3.楼层推进 (11) 4.电梯运行的优先级 (12) 5.复位信号reset (13) 6.锁定禁止运行按键forbid (13) 七.程序源代码及注释 (14) 八.引脚分配 (26) 六.心得与体会 (27)

一.课程设计题目 设计内容与要求: ①楼层的高度大于等于6,根据降低运行成本的原则,设计并实现 一个以方向优先电梯调度算法。 ②要求能够使用按键模拟对电梯的控制,为了便于观察,将电梯所在 的楼层(1~6)用数码管显示出来,将电梯的上下楼状态(上,下,开 门,关门,静止)用发光管或数码管显示出来,并且能够实现对电 梯实现锁定禁止运行。 ③画出电梯控制器的状态机,写出状态编码方案。 ④用Verilog语言对设计进行描述,并下载到实验板上调试成功,适 当增加比较符合现实的控制限制。 ⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后 作简要说明。并谈谈此次实验的收获、感想及建议

二.问题分析 1.方向优先的电梯调度 电梯有三种运行状态,分别是上升、下降和静止等待。 出于降低成本的考虑,电梯在某一方向运行时,要完成该方向上所有的请求后,才能变换运动方向去响应反方向的请求。 电梯上升时,优先响应电梯现在楼层以上楼层的上升、下降和楼层选择信号;电梯下降时,优先响应电梯现处楼层以下楼层的上升、下降和楼 层选择信号;电梯处于等待状态时,响应收到的第一个请求信号。 因此,电梯运行方向的判断要综合考虑三种请求信号、电梯当前所处楼层和电梯当前的状态等多种因素。 2.电梯的状态 电梯运动过程中,有五种基本状态:上升,下降,开门,关门,静止。 考虑到电梯停止在某一楼层等待请求信号的状态为静止状态;另外,电梯运动过程中暂时停靠在某一楼层,开门后需要等待一段时间,这段时 间电梯也没有动作,这时电梯也是“静止”状态。若只用一个状态表示两 种不同的静止情况,需要增加一个电梯的“开/关门标志”来区分两个“静 止”状态。 因此,为了简化逻辑,在5个基本状态的状态编码中增加一个状态,用一个新状态(OPENWAIT)来表示开门情况下的静止状态。 最终,电梯的状态机中共有6个状态。 3.状态编码方案 从数字电路设计的理论课中,我们学到,在进行状态编码时,若系统中存在多个状态,为了增强系统的稳定性。可用二进制位数与状态数相同 的变量来表征系统状态。 因此,电梯的状态用一个6位的二进制数currentstate[5:0]来表示。 6个状态分别编码为: STATIC=6'b000001, UP=6'b000010, DOWN=6'b000100, OPEN=6'b001000, CLOSE=6'b010000, OPENWAIT=6'b100000; 这样,每次状态发生变化时,只用检测状态变量中的的某一位二进制数即可知道电梯处于哪一状态。如要检测电梯是否处于OPEN开门状态, 只需检测currentstate[3]是否等于1即可。

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

电梯门机控制器使用说明书

安全注意事项 在安装和设备投入运行前,请仔细阅读安全说明和警告,避免对人员、设备造成伤害及损坏。 本说明书有关安全注意事项的的等级分为“注意”和“危险”两级。 注意 危险 :错误使用会有危险,可能会造成 轻伤、中度伤害或财物损失。 :错误使用会有危险,可能会 致人重伤、残废甚至死亡以及 重大财物损失。 1.确认及安装 注意 ●受损的变频器和零部件不全的变频器,切勿安装。 ●请安装在金属等不易燃烧的材料上,以免发生火灾。 ●安装时请不要抓在外壳或两端接线端子上,当心掉下来压脚,有受伤的危险。 ●请确定已安装牢靠。否则,可能会掉下来砸伤人。 2.布线 注意 ●请确认交流主回路电源的电压与变频器的额定电压是否一致。切勿对变频 器进行耐电压试验。 ●请勿将电源接到输出U、V、W端子上。

危险 ●接线前,请确认输入电源是否处于OFF状态。 ●只允许连接交流单相220V的输入电源,本设备必须接地(NEC和其它应用标准)。, ●非专业电气工程人员,请勿进行接线作业。 3.操作和运行 注意 ●因散热片温度会变得很高,所以,请不要触摸,以免被烫伤。 ·请勿随意变更变频器的设定,本变频器在出厂时已经进行了适当的设定。危险 ●请务必在装上外壳后再接通电源。接通电源前请将开关置于OFF位置。 ●变频器通电时,即使在停机状态也不要触摸变频器的端子,以免触电。4.维护和检查 危险 ●检查要在切断电源5分钟后进行,否则有触电的危险。 ●检查和维护要由专业人员进行 ●变频器的端子在通电情况下切勿触摸,端子上有高电压,非常危险。 ●进行检查、维护作业时请使用绝缘工具,并避免手上佩带手表、戒指等金属物。 5.其它 危险 ●绝对禁止对变频器进行改造,以免发生伤亡事故。

电梯控制系统方案

深圳市博思凯电子有限公司XX小区 BOSK电梯管理系统方案

目录 第一章概述 (3) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (5) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (6) 4.3系统结构 (8) 第五章设备介绍 (16) 8.DPU-9906楼层信号采集器 (18) 第六章工作原理 (20) 第七章系统设备清单及价格 (23) 第八章工程实施 (24) 第九章售后服务 (26) 第十章质量保证 (27)

第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。LMS9905是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用LMS9905对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 对于访客管理,采用与对讲系统联动控制,即访客接通住户室内分机通话后,住户通过室内分机旁的电梯按钮将信号发送给IC卡层控电梯系统,系统接收到住户的开梯信号后,启动电梯下到一层,并开放住户层(其它层不开放),访客即可进入轿箱按下住户层键,启动电梯只上到住户层。 通过IC卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级。 LMS9905基于ELSECURE-lift控制软件平台使用的一个控制模块,它与DPU 系列门禁控制系统相互兼容,可以与DPU9906控制器结合对讲系统,组成一个强大的保安系统网络,来对访客进行有效使用的管理。也可以独立使用来控制电梯。LMS9905可在线运行,可以单机独立运行,即使关闭PC机,LMS9905也可以正

电梯控制系统设计方案

上海四景计算机信息科技有限公司 电 梯 控 制 系 统 方 案

上海四景计算机信息科技有限公司 舒特电梯智能控制系统 ---楼宇自动化的首选 前言: 系统概述: 随着高科技的蓬勃发展,智能化管理已经走进了人们的生活。物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。所有的电梯楼层,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。针对这些需求我们开发了电梯楼层控制器,并分为手动型和自动型两款,客户可以根据需求选择适合自己的产品。 通过智能卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级,电梯系统智能化控制已逐渐成为智能化建筑楼宇中必不可少弱电系统之一 二、选择使用电梯控制系统带来的好处 (一)使用梯控制系统可有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现各种功能需求。 (二)使用梯控制系统能够提高楼盘的整体智能化程度,提升楼盘亮点和档次,充分体现智能化楼宇和智能化小区意义,是楼盘更具附加值。 (三)使用梯控制系统能够使公共电梯轻松晋级为私有电梯,能够让业主充分体现私有电梯的尊贵和方便性。 (四)使用梯控制系统能够为用户提供更方便和更公平使用。 (五)协助收取物业费 管理人员可对系统的用户卡设定使用权限,设定失效日期,便于控制管理费用的收取。 如用户使用到达使用的失效时间,则不能开梯,提醒并促使用户到达管理处及时缴费,对于不按时交纳物业费的业主,则不能使用电梯,有效的将管理费用与用户使用权限挂

DTM-04电梯控制器产品说明(楼层型)资料

DTM-04电梯控制器产品说明 1. 产品图片 DTM-04读卡面板 DTM-04控制箱 2. 产品特点 2.1. 特征 电梯控制器不仅适用于酒店电梯管理、办公楼电梯管理和其他类型电梯控制管理,而且使用于一卡通智能管理系统。电梯能通过Mifare卡进行控制和管理。通过在服务器端制作设置卡,可设置电梯参数,开门卡能进入所选择的楼层,而且员工工作时间段和电梯工作时间段也能设置。 2.2. 功能介绍 ●实现酒店门锁与电梯控制器之间相互关联,利于管理 ●可对电梯控制器进行设置,有受控和非受控两种模式选择 ●可对电梯控制器进行参数设置,包括楼层数,碰卡延时时间,进入楼层权限设置等 ●具备楼层选择功能,宾客可根据需要通过选择按钮进入相应楼层 ●具备权限判断功能,只有符合权限的开门卡才能开启电梯服务 ●具备挂失功能,黑名单数目可设1600个 ●具备汇总功能,可对电梯控制器进行开门记录设置,随时查看进出记录。 ●具有开梯查询功能,最多可设置20000条开梯记录。 3. 安装要求

3.1. 电梯要求 ●轿箱顶部提供220V电源2位插座。 ●轿箱顶部能提供足够空间安装及固定DTM控制箱 ●轿箱内部楼层按键面板内、外必须提供足够空间安装及固定DTM-02前主控器及前控电路板盒 ●电梯控制板必须安装在轿箱顶部 ●电梯控制板的楼层按键输入接口必须是干接点信号 ●每个楼层按键开关有2根线连接至电梯控制板,连接头为螺丝插拔式端子 3.2. 电线规格 3.3. 布线要求 在安装DTM前主控器面板的开孔处(安装在轿箱内楼层按键附近)留出N组线(每组两条,总数量是总楼层数+3组,分别是:每个楼层1组,前控制体2组,备用1组),所有的线拉到轿箱顶DTM 控制箱的安装位置;轿箱内各出线处留线长30cm左右,轿箱顶各出线处留线长60cm左右,每组单条线的长度应≤20m。由于8芯网线线径太小,不允许采用。

SC200施工电梯使用说明书new

目录 —、概述 (1) 二、技术性能参数 (3) 三、构造 (5) 四、安装与拆卸 (11) 五、运行前的准备 (16) 六、运行操作规程 (18) 七、升降机的定期检查与保养 (20) 八、升降机润滑 (26) 九、升降机运行故障及排除方法 (27) 十、升降机主要机械部件故障和排除方法 (29) 十一、升降机主要易损件明细表 (30) 十二、升降机主要外购件明细表 (30) 十三、升降机基础图 (31) 十四、电气原理图 (32)

一、概述 施工升降机(亦称外用电梯,简称升降机)广泛适用于人员及物料垂直升降运输。在工业或民用建筑、大型桥梁、竖井中,均为不可缺少的良好运输设备,作为永久或半永久性的还可用于仓库、高塔等不同场合。该产品较之其它提升机构稳定、安全可靠,不用另设机房井道并且拆装方便、搬运灵活性强等优点,尤其在减轻施工人员的劳动强度、加快工程进度,提高工作效率中,起到明显的作用。 SC200/200型建筑施工升降机是邢台新恒成机床有限公司研究设计的新产品。该机设计合理,结构新颖,运行平稳,安全装置齐全可靠,安装维修方便,主要有以下特点: 1、传动机构采用三电机驱动形式,使齿轮齿条受力均匀,安全平稳。 2、保证升降机安全运行,电路中设置了过载、限速等安全开关,当运行中发生上述情况时,升降 机立即自动避免发生意外事故。吊笼上各门亦均有限位开关,当任何一门有异常开启时,吊笼均不能启动或立即停止运行。 3、每台吊笼均配备防坠安全器,能十分有效防止吊笼坠落,确保升降机安全可靠的运行。 4、升降机电控系统线路简单,便于操纵及维修保养,且可靠。升降机正常运行时,可在吊笼内用 手柄或按钮操纵升降机运行,在任何需要停车的位置上可随时停车,在上下终端站,上、下终端限位开关控制自动停车,如果上下终端限位开关因故障不起作用时,升降机上设置的极限开关可及时切断电源使其制动。 5、钢结构设计经过科学及严密的计算,达到了结构合理、强度可靠、重量轻的要求。 6、升降机可利用吊笼上的吊杆自行安装或拆卸导轨架。其余的各部分均可方便的安装及拆卸,零 部件也易于更换。 附:施工升降机型号编制方法 升降机的型号由类、组、型、特性、主参数和变型代号组成。 图示如下: 变型更新代号:用A、B、C…表示 主参数代号:额定载重量×10-1Kg 特性代号:D—有对重(无对重省略) 型式代号:C—齿轮齿条式 类组代号:S—施工升降机 注:主参数代号包括两个吊笼的额定载重量代号,如为单吊笼,只标注一个主参数代号。

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

电梯控制系统概述

电梯控制系统概述 1、何为梯控系统? 电梯门禁控制管理系统(简称梯控系统),是采用先进卡片读写技术,自动控制技术,传感技术,利用计算机网络平台,对电梯使用进行全面的自动化管理,达到了只有合法人员按照特定的规则合理的使用,避免了电梯的混乱使用,提高电梯用户的安全性和节能性。 2、IC卡电梯刷卡管理系统概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。通过采用IC卡电梯刷卡管理系统对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。 IC卡电梯刷卡管理系统的分类 梯控管理系统从安装上可分为联网型和非联网型。 联网型就是把所有电梯刷卡控制器通过布线的方式,用一条485总线连在一起,然后一直连到管理中心,通过管理中心电脑对控制器进行操作,但是该方案由于布线麻烦,尤其在电梯井中布线,容易受到干扰而造成数据丢失现象。另外按照要求每条485总线的长度最长不能超过1200米,由于受联网距离的限制,最近几年大型小区的梯控管理系统一般很少采用联网型梯控管理系统了而采用更先进更方便的非联网型梯控管理系统。 非联网性顾名思义就是不用联网,它既保留了联网型梯控系统的全部优点,

同时解决了布线所带来的难题,因为所有系统不用布线,每个电梯是一个独立的控制单元,互不干扰,安装使用简单,因此倍受高档小区的青睐。下面就分别介绍一下联网型与非联网型梯控系统的组成: 一:联网型梯控管理系统的组成: 系统由一台电脑管理,电脑串口出来通过通讯转换器(RS232/RS485)串连每台控制主机,控制主机再与电梯内按钮相连。其系统框图如下: 通讯转换器 1号电梯 2号电梯 二:非联网型梯控管理系统的组成: 发卡器

相关文档
最新文档