自己整理的数字电路(第五版 阎石)各章重点复习

自己整理的数字电路(第五版 阎石)各章重点复习
自己整理的数字电路(第五版 阎石)各章重点复习

数电课程各章重点

第一、二章 逻辑代数基础知识要点

一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码

二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非 三、逻辑代数的基本公式和常用公式、基本规则

逻辑代数的基本公式 逻辑代数常用公式:

吸收律:A AB A =+

消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A ?=+ B A AB B A B A +=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换

逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答

1、 利用公式法对逻辑函数进行化简

2、 利用卡诺图对逻辑函数化简

3、 具有约束条件的逻辑函数化简 例1.1

利用公式法化简 BD C D A B A C B A ABCD F ++++=)(

解:BD C D A B A C B A ABCD F ++++=)(

BD C D A B A B A ++++= )(C B A C C B A +=+

BD C D A B +++= )(B B A B A =+ C D A D B +++= )(D B BD B +=+ C D B ++= )(D D A D =+ 例1.2 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、

m ABCD Y 约束条件为

∑8)4210(、、、、

m 解:函数Y 的卡诺图如下:

00 01 11 1000011110AB CD

111

×

11××××D B A Y +=

第四章 组合逻辑电路知识要点

一、组合逻辑电路:任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关 二、

组合逻辑电路的分析方法(按步骤解题)

逻辑功能

真值表化简写出逻辑函数式逻辑图→→→→

三、

若干常用组合逻辑电路

译码器(74LS138) 全加器(真值表分析) 数选器(74151和74153) 四、

组合逻辑电路设计方法(按步骤解题)

1、 用门电路设计

2、 用译码器、数据选择器实现 例3.1 试设计一个三位多数表决电路

1、 用与非门实现

2、 用译码器74LS138实现

3、 用双4选1数据选择器74LS153

解:1. 逻辑定义

设A 、B 、C 为三个输入变量,Y 为输出变量。逻辑1表示同意,逻辑0表示不同意,输出变量Y=1表示事件成立,逻辑0表示事件不成立。

2. 根据题意列出真值表如表

3.1所示 表3.1

A B C Y 00000000000000001111

1111

1111

1111

3. 经化简函数Y 的最简与或式为:AC BC AB Y ++=

4. 用门电路与非门实现

函数Y 的与非—与非表达式为:AC BC AB

Y = 逻辑图如下:

Y

5. 用3—8译码器74LS138实现

由于74LS138为低电平译码,故有i i Y m = 由真值表得出Y 的最小项表示法为:

7653m m m m Y +++=

7653m m m m ???= 7653Y Y Y Y ???= 用74LS138实现的逻辑图如下:

B C 10

6. 用双4选1的数据选择器74LS153实现

74LS153内含二片双4选1数据选择器,由于该函数Y 是三变量函数,故只需用一个4选1即可,如果是4变量函数,则需将二个4选1级连后才能实现 74LS153输出Y 1的逻辑函数表达式为:

13011201110110011D A A D A A D A A D A A Y +++= 三变量多数表决电路Y 输出函数为: ABC C AB C B A BC A Y +++= 令 A=A 1,B=A 0,C 用D 10~D 13表示,则 10?+?+?+?=AB C B A C B A B A Y

∴D 10=0,D 11=C ,D 12=C ,D 13=1

逻辑图如下:

1

C Y

注:实验中1位二进制全加器设计:用138或153如何实现?1位二进制全减器呢?

第五章 触发器知识要点

一、触发器:能储存一位二进制信号的单元 二、各类触发器框图、功能表和特性方程

RS : n n Q R S Q +=+1 SR=0

JK : n n n Q K Q J Q +=+1 D : D Q n =+1 T : n n n Q T Q T Q +=+1 T': n n Q Q =+1 三、

各类触发器动作特点及波形图画法

基本RS 触发器:S D 、R D 每一变化对输出均产生影响

时钟控制RS 触发器:在CP 高电平期间R 、S 变化对输出有影响

主从JK 触发器:在CP=1期间,主触发器状态随R 、S 变化。CP 下降沿,从触发器按主触发器状

态翻转。在CP=1期间,JK 状态应保持不变,否则会产生一次状态变化。

T'触发器:Q 是CP 的二分频

边沿触发器:触发器的次态仅取决于CP (上升沿/下降沿)到达时输入信号状态。 四、触发器转换

D 触发器和JK 触发器转换成T 和T ’触发器

第六章 时序逻辑电路知识要点

一、时序逻辑电路的组成特点:任一时刻的输出信号不仅取决于该时刻的输入信号,还和电路原状态有关。

时序逻辑电路由组合逻辑电路和存储电路组成。

二、同步时序逻辑电路的分析方法(按步骤解题)

逻辑图→写出驱动方程→写出状态方程→写出输出方程→画出状态转换图(详见例5-1)

三、典型时序逻辑电路

1.移位寄存器及移位寄存器型计数器。

2.用T触发器构成二进制加法计数器构成方法。

T0=1

T1=Q0

···

T i=Q i-1 Q i-2···Q1 Q0

3.集成计数器框图及功能表的理解

4位同步二进制计数器74LS161:异步清0(低电平),同步置数,CP上升沿计数,功能表

4位同步十进制计数器74LS160:同74LS161

同步十六进制加/减计数器74LS191:无清0端,只有异步预置端,功能表

双时钟同步十六进制加减计数器74LS193:有二个时钟CPU,CPD,异步置0(H),异步预置(L)

四、时序逻辑电路的设计(按步骤解题)

1.用触发器组成同步计数器的设计方法及设计步骤(例5-3)

逻辑抽象→状态转换图→画出次态以及各输出的卡诺图→利用卡诺图求状态方程和驱动方程、输出方程→检查自启动(如不能自启动则应修改逻辑)→画逻辑图

2.用集成计数器组成任意进制计数器的方法

置0法:如果集成计数器有清零端,则可控制清零端来改变计数长度。如果是异步清零端,则N 进制计数器可用第N个状态译码产生控制信号控制清零端,如果是同步清零,则用第N-1个状态译码产生控制信号,产生控制信号时应注意清零端时高电平还是低电平。

置数法:控制预置端来改变计数长度。

如果异步预置,则用第N个状态译码产生控制信号

如果同步预置,则用第N-1个状态译码产生控制信号,也应注意预置端是高电平还是低电平。

两片间进位信号产生:有串行进位和并行进位二种方法

详见例5-5至5-8

第十章 脉冲波形产生和整形知识要点

重点:555电路及其应用 一、用555组成多谐振荡器

1. 电路组成如图6.5所示

R R C

图6.5 2. 电路参数:

充电τ:(R 1+R 2)C 放电τ: R 2C 周期:T=(R 1+2R 2)C ln2

占空比:2

121

12R R R R T t q w ++==

二、用555电路组成施密特触发器

1. 电路如图6.1所示

2. 回差计算 CC T V V 32=

+ , CC T V V 3

1

=- 回差-+-=?T T V V V

3. 对应V i 输入波形、输出波形如图6.2所示 三、用555电路组成单稳电路

1. 电路如图6.3所示

稳态时 V O =0 。

V i2有负脉冲触发时V O =1 。

V 03t

t

V V 213V V

V i

V t

t

图 6.4

V i

2. 脉宽参数计算

3. 波形如图6.4所示

第十一章 数模和模数转换知识要点

一、D/A 转换器

D/A 转换器的一般形式为:V O =KD i ,K 为比例系数,D i 为输入的二进制数,D/A 转换器的电路结构主要看有权电阻、权电流、权电容以及开关树型D/A 转换器。

权电阻及倒T 型电阻网络D/A 转换器输出电压和输入二进制数之间关系的推导过程。 二、A/D 转换器

1. A/D 转换器基本原理

取样定理:为保证取样后的信号不失真恢复变量信号,设采样频率为S f ,原信号最高频率为

max f ,则max 2f f S 。

A/D 转换器过程:采样、保持、量化、编码 2. 典型A/D 转换器的工作原理

逐次逼近型A/D 转换器原理 计数型A/D 转换器原理

数字电路知识点汇总精华版

数字电路知识点汇总(东南大学) 第1章 数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章 逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A=?1A A+1=1与00=?A A A +=1与A A ?=0 2)与普通代数相运算规律 a.交换律:A+B=B+A A B B A ?=? b.结合律:(A+B)+C=A+(B+C) )()(C B A C B A ??=?? c.分配律:)(C B A ??=+?B A C A ? ))()(C A B A C B A ++=?+) 3)逻辑函数的特殊规律 a.同一律:A+A+A

b.摩根定律:B A B A ?=+,B A B A +=? b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 例如:C B A C B A ⊕?+⊕? 可令L=C B ⊕ 则上式变成L A L A ?+?=C B A L A ⊕⊕=⊕ 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式 1)合并项法: 利用A+1=+A A 或A B A B A =?=?,将二项合并为一项,合并时可消去一个变量 例如:L=B A C C B A C B A C B A =+=+)( 2)吸收法 利用公式A B A A =?+,消去多余的积项,根据代入规则B A ?可以是任何一个复杂的逻辑式 例如 化简函数L=E B D A AB ++ 解:先用摩根定理展开:AB =B A + 再用吸收法 L=E B D A AB ++

数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电路总结

数字电路总结 第一章数制和编码 1.能写出任意进制数的按权展开式; 2.掌握二进制数与十进制数之间的相互转换; 3.掌握二进制数与八进制、十六进制数之间的相互转换; 4.掌握二进制数的原码、反码及补码的表示方法; 5.熟悉自然二进制码、8421BCD码和余3 BCD码 6.了解循环码的特点。 第二章逻辑代数基础 1.掌握逻辑代数的基本运算公式; 2.掌握代入规则,反演规则,对偶规则; 熟悉逻辑表达式类型之间的转换---“与或”表达式转化为“与非”表达式; 3.熟悉逻辑函数的标准形式---积之和(最小项)表达式及和之积(最大项)式表达式。(最小项与最大项之间的关系,最小项表达式与最大项表达式之间的关系)。 4.了解正逻辑和负逻辑的概念。 第三章:数字逻辑系统建模 1.熟悉代数法化简函数 (A +,B A= AB = +, C A+ A B A = + AB+ +, A+A=A AA=A ) A AB A BC C 2.掌握图解法化简函数 3.了解列表法化简函数(Q-M法的步骤) 4.能够解决逻辑函数简化中的几个实际问题。 a. 无关项,任意项,约束项的处理; b. 卡诺图之间的运算。 5.时序逻辑状态化简 掌握确定状态逻辑系统的状态化简; 了解不完全确定状态逻辑系统的状态化简。 第四章:集成逻辑门 1.了解TTL“与非”门电路的简单工作原理; 2.熟悉TTL“与非”门电路的外特性:电压传输特性及几个主要参数,输出高电平,输出低电平、噪声容限、输入短路电流、扇出系数和平均传输延迟时间。 3.熟悉集电集开路“与非”门(OC门)和三态门逻辑概念,理解“线与”的概念;4.掌握CMOS“与非”门、“或非”门、“非”门电路的形式及其工作原理。 5.熟练掌握与、或、非、异或、同或的逻辑关系。 7.掌握R-S、J-K、D、T触发器的逻辑功能、特征方程、状态转换图、状态转换真值表。 不要求深入研究触发器的内部结构,只要求掌握它们的功能,能够正确地使用它们;8.了解触发器直接置“0”端R D和直接置“1”端S D的作用。 9.了解边沿触发器的特点;

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数C B C A C B A Y ++??=1(5分) 答案:C B A B A C C B C A C B A Y +)+?(=++??=1(1分)=C B A B C +)+((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知7400为四个2输入与非门,其20=OL I ,1=OH I ,2=IL I ,μA 50=IH I ,计算7400最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,(2分);IH H OH 2≥I N I ,(2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应、、D 的Q 端波形。(4分) 答案: 5. 8位数模转换器0832构成的电路如图1.2所示。(1)写出输出电压O v 的计算公式;(2)若输入数 AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图Q PR PR (a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -(3分) (2)=6.3-78 REF 2×2 V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2.73578 (2分) 二(10分)、 图2 (a )由集成3线-8线译码器74138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74138的功能表如图(b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74151的逻辑符号如图3所示,试用74151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 D m D m D m D m D m D m D m ?+?+?+?+?+?+?=7643100(3分) V O v 2 .1图 G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a) (b)A B Y 10000001111110000000C 11 1111 111Y 200 00 11 11001 输 入输 出

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电路期末总复习知识点归纳详细.doc

第1章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A= ?1A A+1=1与0 ?A 0= A?=0 A+=1与A A 2)与普通代数相运算规律 a.交换律:A+B=B+A ? A? = B A B b.结合律:(A+B)+C=A+(B+C) A? B ? C ? = ? ) A ( ) B (C c.分配律:) ?=+ A? (C B A? A C ?B A+ + +) B ? = A )() ) (C A B C 3)逻辑函数的特殊规律 a.同一律:A+A+A b.摩根定律:B A+ B ? A = A B A? = +,B

b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 例如:C ? ⊕ ? A⊕ + A C B B 可令L=C B⊕ 则上式变成L ?=C + A A? L = ⊕ ⊕ A⊕ B A L 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式 1)合并项法: 利用A+1 A= ? ?, 将二项合并为一项,合并时可消去一个变量 B = A = A或A +A B 例如:L=B B C + ( A +) = A= A B C C A C B 2)吸收法 利用公式A A?可以是任何一个复杂的逻辑? +,消去多余的积项,根据代入规则B A B A= 式 例如化简函数L=E AB+ + A D B 解:先用摩根定理展开:AB=B A+再用吸收法 L=E AB+ A + B D =E + + B A+ B D A =) A A+ + D + B ( ) (E B =) A A+ D + + 1(E 1( ) B B

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数B A B A Y ++??=1(5分) 答案:C B A A C C B C A C A Y +)+?(=++??=1(1分)=C B A C +) +((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,10=≤ IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IH OH H I I N (2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。(4分) 答案: AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图(a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -i i i D V 22 ∑ 7 8 REF (3分) (2)=6.3-7 8 REF 2×2V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2 .73578(2分) 二(10分)、 图2( a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74HC138的功能表如图( b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74HC151的逻辑符号如图3所示,试用74HC151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 V O v 2 .1图 Y G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a)(b)A B Y 10000001111110000000C 11 1111111Y 200 00 11 11001输 入 输 出

数字电子技术基础第五版期末知识点总结 (1)

数电课程各章重点 第一、二章 逻辑代数基础知识要点 各种进制间的转换,逻辑函数的化简。 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码 .8421码 二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非 三、逻辑代数的基本公式和常用公式、基本规则 逻辑代数的基本公式 逻辑代数常用公式: 吸收律:A AB A =+ 消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A ?=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换 逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、 利用公式法对逻辑函数进行化简 2、 利用卡诺图对逻辑函数化简 3、 具有约束条件的逻辑函数化简 例1.1 利用公式法化简 BD C D A B A C B A ABCD F ++++=)( 解:BD C D A B A C B A ABCD F ++++=)( 例 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、 m ABCD Y 约束条件为 ∑8)4210(、、、、 m 解:函数Y 的卡诺图如下:

第三章 门电路知识要点 各种门的符号,逻辑功能。 一、三极管开、关状态 1、饱和、截止条件:截止:T be V V <, 饱和:β CS BS B I I i => 2、反相器饱和、截止判断 二、基本门电路及其逻辑符号 与门、或非门、非门、与非门、OC 门、三态门、异或; 传输门、OC/OD 门及三态门的应用 三、门电路的外特性 1、输入端电阻特性:对TTL 门电路而言,输入端通过电阻接地或低电平时,由于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。 习题2-7 5、输出低电平负载电流I OL 6、扇出系数N O 一个门电路驱动同类门的最大数目 第四章 组合逻辑电路知识要点 组合逻辑电路的分析、设计,利用集成芯片实现逻辑函数。 (74138, 74151等) 一、组合逻辑电路:任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关 二、 组合逻辑电路的分析方法(按步骤解题) 三、 若干常用组合逻辑电路 译码器(74LS138) 全加器(真值表分析) 数据选择器(74151和74153) 四、 组合逻辑电路设计方法(按步骤解题) 1、 用门电路设计 2、 用译码器、数据选择器实现 例3.1 试设计一个三位多数表决电路

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

《数字电子技术》总结复习

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421、格雷码之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)门电路典型高电平为3.6 V,典型低电平为0.3 V。 3)门和门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。5)门电路参数:噪声容限或、扇出系数、平均传输时间。 要求:掌握八种逻辑门电路的逻辑功能;掌握门和门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:,则输出Y见上。3.基本逻辑运算的特点:

与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零; 非运算:零变 1, 1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

数字电路教案阎石第三章逻辑门电路

第3章逻辑门电路 3.1 概述 逻辑门电路:用以实现基本和常用逻辑运算的电子电路。简称门电路。用逻辑1和0 分别来表示电子电路中的高、低电平的逻辑赋值方式,称为正逻辑,目前在数字技术中,大都采用正逻辑工作;若用低、高电平来表示,则称为负逻辑。本课程采用正逻辑。 获得高、低电平的基本方法:利用半导体开关元件的导通、截止(即开、关)两种工作状态。 在数字集成电路的发展过程中,同时存在着两种类型器件的发展。一种是由三极管组成的双极型集成电路,例如晶体管-晶体管逻辑电路(简称TTL电路)及射极耦合逻辑电路(简称ECL 电路)。另一种是由MOS管组成的单极型集成电路,例如N-MOS逻辑电路和互补MOS(简称COMS)逻辑电路。 3.2 分立元件门电路 3.3.1 二极管的开关特性 3.2.2三极管的开关特性NPN型三极管截止、放大、饱和3种工作状态的特点

3.2.3二极管门电路1、二极管与门 2、二极管或门

3.2.4三极管非门 3.2.5组合逻辑门电路1、与非门电路 2、或非门电路

3.3 集成逻辑门电路 一、TTL与非门 1、电路结构 (1)抗饱和三极管 作用:使三极管工作在浅饱和状态。因为三极管饱和越深,其工作速度越慢,为了提高工作速度,需要采用抗饱和三极管。 构成:在普通三极管的基极B和集电极C之间并接了一个肖特基二极管(简称SBD)。 特点:开启电压低,其正向导通电压只有0.4V,比普通硅二极管0.7V的正向导通压降小得多;没有电荷存储效应;制造工艺和TTL电路的常规工艺相容,甚至无须增加工艺就可制造出SBD。(2)采用有源泄放电路 上图中的V6、R3、R6组成。 2、TTL与非门的工作原理 (1)V1的等效电路 V1是多发射极三极管,其有三个发射结为PN结。故输入级用 以实现A、B、C与的关系。其等效电路如右图所示。 (2)工作原理分析 ①输入信号不全为1: 如u A=0.3V,u B= u C =3.6V 则u B1=0.3+0.7=1V,T2、T5 截止,T3、T4导通 忽略i B3,输出端的电位为: u Y≈5―0.7―0.7=3.6V

数字电子技术基础学习总结

数字电子技术基础学习总结 光阴似箭,日月如梭。有到了这个学期的期末,对我来说又是一次对知识的大检查。 这学期总共学习了4章,分别是数字逻辑基础、逻辑门电路基础、组合逻辑电路、触发器。 在第一章学习数字逻辑基础包括模拟信号与数字信号、数字电路、数制、各种数制之间的转换和对应关系表、码制(BCD码、格雷码、ASCII码)、逻辑问题的描述(这个是重点)、逻辑函数的五种描述方法、逻辑函数的化简; 在数制里学习四种进制十进制、二进制、八进制、十六进制;十进制是逢十进一,二进制是逢二进一,在八进制中只是二进制的一种简便表示方法而已,它的规律是逢八近一,而十六进制有09ABCDEF十六个数码这个要记住和一些算法。 比如十进制的534,八进制为1026,过程为: 534/8=66,余数为6; 66/8=8,余数为2; 8/8=1,余数为0; 1/8=0,余数为1;

仍然是从下往上看这些余数,顺序写出,答案为1026 所以在数制的之间转换有5种转换,10和2转换(除2取余数法,如上题一样),10和8转换对整数除8取余,对小数点乘8取整。10和16转换对整数除16取余,对小数点乘16取整,2和8转换对应关系3位二进制对应1位八进制可看对应关系图。2和16转换4位二进制对应1位十六进制数,可看对应关系图。 在码制的学习中学习了3种码BCD码、格雷码、ASCII码。 BCD码:用4位二进制数来表示1位十进制数中的0~9这10个数码,简称BCD码,还有几个常用的BCD码:8421(常用)、5421、2421、余3。 如8421码321的8421码就是(查表) 3 2 1 0011 0010 0001 原因:0011=8x0+4x0+1x2+1x1=3 、 0010=8x0+4x0+2x1+1x0=2、0001=8x0+4x0+2x0+1x1=1; 格雷码:有两个特点1相邻性2循环性。

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

数字电子技术总结复习

数字电子技术总结复习集团档案编码:[YTTR-YTPT28-YTNTL98-UYTYNN08]

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD、格雷码之间进行相互转换。 举例1:()10= ( )2= ( )16= ( )8421BCD 解:()10= ( )2= ( )16= ( )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL门电路典型高电平为 V,典型低电平为 V。 3)OC门和OD门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH或V NL、扇出系数N o、平均传输时间t pd。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC门和OD门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C + = =,则输出Y见上。 + Y+ A A B B C 3.基本逻辑运算的特点: 与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变 1, 1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 5.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成 “+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y(或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持

东南大学数字电路实验考试——教务考试监考装置

数字电路期末考题二 一、教务处需要一考试监考装置:设定每场考试为2小时,假设一个时钟周期是10分钟,用两个数码管分别显示分钟的十位和小时的个位。当到半小时的时候,红灯亮持续10分钟后灭,提醒监考老师没来的考生不得入场,在场的考生可以交卷离开。当到1小时50分时,黄灯亮持续10分钟后灭,提醒监考老师考试时间将到,准备收卷。 要求: 1.简单写出设计过程,画出逻辑电路图(30分) 2.根据设计搭试电路(15分) 3.用单脉冲验证电路(由老师检查)(25分) 4.用双踪示波器或者逻辑分析仪观察并分别绘出输入时钟和分钟十位输出时的Q m2、Q m1、Q m0输出波形。(10分) 二、简答 几个三态门的输出端是否允许短接?有无条件限制,应注意什么问题? OC门的输出端是否允许短接,结果是什么?(20分) 页脚内容1

数字电路期末考题四(答案及评分标准) 1.简单写出设计过程,画出逻辑电路图(30分) 由题意,设时钟脉冲的周期为10分钟,则分钟部分可设计成模6计数器,整个监考装置是模12计数器,其功能见下表 页脚内容2

80001000100 90001001000 100001001100 110001010000 120001010101 130000000000逻辑电路图: 页脚内容3

评分:a、设计过程15分 b、逻辑电路图15分 2.电路接线符合基本规范,电源连接正确(15分); 3.用单脉冲验证电路(由老师检查)(25分) 4.波形记录符合规范(波形应注意相位对齐,并至少画满一个周期,方波的边沿一定要画出):波形描述正确且相位对齐8分(每个波形2分)方波边沿画出2分 CLK Qm2 Qm1 Qm0 二、简答题: 几个三态门的输出端允许短接,但有条件限制,不能同时有两个或两个以上三态门的控制端处于使能状态。(10分) OC门的输出端允许短接,但要在输出端接一个合适的上拉电阻和电源才能正常工作,结果是将各个OC门的输出相与。(10分) 页脚内容4

相关文档
最新文档