智能函数发生器EDA设计

智能函数发生器EDA设计
智能函数发生器EDA设计

湖南商学院

《EDA技术及应用》课程设计(实习)报告题目智能函数发生器

姓名: 黄亚珍

学号:

专业:

班级:

指导教师: 陈勇

职称: 副教授

计算机与电子工程学院

课程设计(实习)评审表

课程设计(实习)作品验收表

目录

1设计任务及要求 (1)

1.1设计任务 (1)

1.2设计要求 (1)

2 总体设计方案 (1)

3各模块详细设计及实现 (2)

3.1下降斜坡信号产生 (2)

3.1.1 详细设计 (2)

3.1.2 设计实现 (2)

3.2上升斜坡信号产生 (3)

3.2.1 详细设计 (3)

3.2.1 设计实现 (3)

3.3正弦信号产生 (4)

3.3.1 详细设计 (4)

3.3.2 设计实现 (4)

3.4阶梯信号产生 (6)

3.4.1 详细设计 (6)

3.4.2 设计实现 (6)

3.5方波信号产生 (7)

3.5.1 详细设计 (7)

3.5.2 设计实现 (7)

3.6三角波信号产生 (8)

3.6.1 详细设计 (8)

3.6.2 设计实现 (8)

3.7信号选择 (9)

3.7.1 详细设计 (9)

3.7.2 设计实现 (9)

3.8顶层原理图 (10)

3.8.1 详细设计 (10)

3.8.2 设计实现 (10)

4 软件仿真 (11)

5 硬件实现 (12)

5.1引脚锁定 (12)

5.2硬件测试 (13)

6 心得体会 (13)

6.1遇到的问题及解决方法 (13)

6.2感想 (13)

参考文献 (13)

附件 (15)

智能函数发生器

摘要自己写

关键字自己写

1设计任务及要求

1.1 设计任务

1.2 设计要求

2 总体设计方案(自己看书上面有)

该设计主要分为8个模块,前6个模块是波形的VHDL描述模块;第七个模块的波形选择模块,也是用VHDL语言进行描述,用于选择何种波形进行最后的输出;最后一个模块是顶层原理图模块,是将前7个模块连接在一起构成完整的只能函数发生器。

具体的总体设计方框图如下:

图1 总体设计方框图

3各模块详细设计及实现

3.1 下降斜坡信号产生

3.1.1 详细设计

见书

3.1.2 设计实现

具体VHDL语言描述如下:

entity deslope is --实体

port (clk,reset:in std_logic; --输入端口定义,为标准逻辑位

q:out std_logic_vector(7 downto 0) --输出端口定义,为8位标准逻辑矢量

);

end deslope;

architecture behave of deslope is --结构体

begin

process(reset,clk) --进程

variable cnt:std_logic_vector (7 downto 0):="11111111"; --定义变量CNT

begin

if reset='0' then cnt:=(others=>'1'); --当RESET为0时,输出Q为1

elsif clk'event and clk='1' then --当时钟上升沿到来时,判断变量CNT if cnt="00000000" then 是否为0,即降到最地点

cnt:=(others=>'1'); --若CNT为0,则置为全1 else cnt:=cnt-1; --否则,CNT减1

end if;

end if;

q<=cnt; --将CNT赋值给输出信号Q

end process; --结束进程

end behave; --结构体结束

3.2 上升斜坡信号产生

3.2.1 详细设计

见书

3.2.1 设计实现

具体VHDL语言描述如下:

entity inslope is --实体

port (clk,reset:in std_logic; --端口定义

q: out std_logic_vector(7 downto 0 )

);

end inslope; --实体结束

architecture behave of inslope is --结构体

begin

process(clk,reset) --进程,CLK及RESET为敏感信号

variable cnt:std_logic_vector (7 downto 0); --定义变量CNT

begin

if reset='0' then cnt:=(others=>'0'); --当RESET为0时,重置为,输出置为0 elsif clk'event and clk='1' then --当时钟上升沿到来时,判断CNT是否为if cnt="11111111" then 最大值

cnt:=(others=>'0'); --若CNT为最大值,置为全0 else cnt:=cnt+1; --否则,CNT加1

end if;

end if;

q<=cnt; --将变量CNT赋值给输出Q

end process; --进程结束

end behave; --结构体结束

3.3 正弦信号产生

3.3.1 详细设计

见书

3.3.2 设计实现

具体VHDL语言描述如下:

entity sinwave is --实体

port(clk,reset:in std_logic; --端口定义

q:out integer range 0 to 255); --输出为正整数

end sinwave; --实体结束

architecture behave of sinwave is --结构体

begin

process(clk,reset) --进程

variable cnt:integer range 0 to 63; --定义变量CNT为常数

begin

if reset='0' then q<=0; --若复位信号为0,则输出置为0 elsif clk'event and clk='1' then --当时钟信号上升沿到来时if cnt=63 then --若CNT为63时则置为0 cnt:=0;

else --否则,CNT加1,相当于CNT为cnt:=cnt+1; 一个64进制的计数器end if;

case cnt is --查表输出,根据不同CNT值确定输出Q的值when 00=>q<=255; when 01=>q<=254; when 02=>q<=252;

when 03=>q<=249; when 04=>q<=245; when 05=>q<=239;

when 06=>q<=233; when 07=>q<=225; when 08=>q<=217;

when 09=>q<=207; when 10=>q<=197; when 11=>q<=186;

when 12=>q<=174; when 13=>q<=162; when 14=>q<=150;

when 15=>q<=137; when 16=>q<=124; when 17=>q<=112;

when 18=>q<=99; when 19=>q<=87; when 20=>q<=75;

when 21=>q<=64; when 22=>q<=53; when 23=>q<=43;

when 24=>q<=34; when 25=>q<=26; when 26=>q<=19;

when 27=>q<=13; when 28=>q<=8; when 29=>q<=4;

when 30=>q<=1; when 31=>q<=0; when 32=>q<=0;

when 33=>q<=1; when 34=>q<=4; when 35=>q<=8;

when 36=>q<=13; when 37=>q<=19; when 38=>q<=26;

when 39=>q<=34; when 40=>q<=43; when 41=>q<=53;

when 42=>q<=64; when 43=>q<=75; when 44=>q<=87;

when 45=>q<=99; when 46=>q<=112; when 47=>q<=124;

when 48=>q<=137; when 49=>q<=150; when 50=>q<=162;

when 51=>q<=174; when 52=>q<=186; when 53=>q<=197;

when 54=>q<=207; when 55=>q<=217; when 56=>q<=225;

when 57=>q<=233; when 58=>q<=239; when 59=>q<=245;

when 60=>q<=249; when 61=>q<=252; when 62=>q<=254;

when 63=>q<=255;

end case;

end if;

end process; --进程结束

end behave; --结构体结束

3.4 阶梯信号产生

3.4.1 详细设计

见书

3.4.2 设计实现

具体的VHDL描述语言如下:

entity stair is --实体

port(clk,reset:in std_logic; --端口定义

q:out std_logic_vector(7 downto 0) );

end stair;

architecture behave of stair is --结构体

begin

process(clk,reset) --进程

variable cnt:std_logic_vector(7 downto 0); --变量定义,计数CNT

variable temp:std_logic; --变量定义,标志TEMP

begin

if reset='0' then cnt:=(others=>'0'); --当RESET为0 时,CNT置0

elsif clk'event and clk='1' then --当时钟上升沿来到时

if temp='0' then --标志TEMP为0时,进行CNT赋值if cnt="11111111" then --CNT到最大值时,置0

cnt:=(others=>'0'); temp:='1';

else cnt:=cnt+16; temp:='1'; --否则,CNT加16(阶梯常数)

end if; --CNT值改变后,标志TEMP置1 else temp:='0'; --标志TEMP为其他值时,进行TEMP置0

end if; end if;

q<=cnt; --将CNT赋值给输出信号Q

end process; --进程结束

end behave; --结束结构体

3.5 方波信号产生

3.5.1 详细设计

见书。

3.5.2 设计实现

具体VHDL语言描述如下:

entity square is --实体

port( clk,reset:in std_logic; --端口定义

q:out std_logic_vector(7 downto 0) );

end square;

architecture behave of square is --结构体

signal temp:std_logic; --定义标志变量TEMP

begin

process(clk,reset) --进程,敏感信号为CLK,RESET

variable cnt:integer; --定义变量CNT,用于计数

begin

if reset='0' then temp<='0'; --RESET有效时,标志TEMP置为0 elsif clk'event and clk='1' then --当时钟信号上升沿到来时if cnt<63 then --CNT为64进制计数量,到63时置0 cnt:=cnt+1; --否则CNT加1

else

cnt:=0; temp<=not temp; --64个时钟周期后,TEMP取反

end if; end if;

end process; --进程结束

q<= "11111111" when temp='1' else --根据TEMP的值来确定输出"00000000";

end behave; --结构体结束

3.6 三角波信号产生

3.6.1 详细设计

见书

3.6.2 设计实现

entity triangle is --实体

port(clk,reset:in std_logic; --端口定义

q:out std_logic_vector(7 downto 0));

end triangle;

architecture behave of triangle is --结构体

begin

process(clk,reset) --进程

variable cnt:std_logic_vector(7 downto 0); --定义计数变量CNT

variable temp:std_logic; --定义标志变量TEMP

begin

if reset='0' then cnt:="00000000"; --RESET为0时,CNT为0 elsif clk'event and clk='1' then --当时钟上升沿到来时

if temp='0' then --TEMP为0时,CNT递减if cnt="00000001" then

cnt:="00000000";

temp:='1'; --CNT为最小值时,TEMP值1

else cnt:=cnt-1;

end if;

elsif temp='1' then --TEMP为1时,CNT递增if cnt="11111110" then

cnt:="11111111";

temp:='0'; --CNT为最大值时,TEMP置0 else cnt:=cnt+1;

end if;end if;end if;

q<=cnt; --将CNT赋值给输出信号Q

end process; --进程结束

end behave; --结构体结束

3.7 信号选择

3.7.1 详细设计

实体部分主要是端口定义。7个输入信号,一个选择信号CHIOCE,D0至D5为输入端口,是8位的标准逻辑矢量。1个输出信号Q。

结构体部分描述实体的功能,根据不同的选择信号CHOICE值的不同将端口D0到D5的值从Q进行输出。这部分用的并行条件赋值语句。

3.7.2 设计实现

具体VHDL语言描述如下:

entity select6 is --实体

port(choice:in std_logic_vector(2 downto 0); --端口定义

d0,d1,d2,d3,d4,d5:in std_logic_vector(7 downto 0);

q:out std_logic_vector(7 downto 0));

end select6;

architecture behave of select6 is

begin --根据不同CHOICE的值进行选择输出

q<=d0 when choice="000" else

d1 when choice="001" else

d2 when choice="010" else

d3 when choice="011" else

d4 when choice="100" else

d5 ;

end behave;

3.8 顶层原理图

3.8.1 详细设计

3个输入信号,选择信号CHICE,时钟信号CLK,复位信号RESET。没个波形的输出端口接选择模块的输入端口D0到D5。当选择信号为0时,输出下降的斜坡信号;当选择信号为1时,输出上升的斜坡信号;当选择信号为2时,输出为正弦波;当选择信号为3时,输出为方波信号。当选择信号为4时,输出为阶梯信号;当选择信号为5时,输出为三角波信号。

3.8.2 设计实现

具体设计图形如下:

图2 顶层原理图

4 软件仿真

当选择信号取不同值时输出不同的波形。

选择信号为0时,输出为下降斜坡:

图3 下降斜坡信号输出选择信号为1时,输出为上升斜坡:

图4 上升斜坡信号输出

选择信号为2时,输出为正弦波:

图5 正弦波信号输出

选择信号为3时,输出为方波:

图6 方波信号输出

选择信号为4,输出为阶梯波:

图7 阶梯波信号输出

选择信号为5时,输出为三角波:

图8 三角波信号输出

5 硬件实现

5.1 引脚锁定

首先在MAX+PLUSS II上进行引脚锁定,引脚锁定的具体模式选择1,芯片选择的

是EPF10K10LC84-4。其端口的具体对应如下表格:

表1 引脚对应表格

5.2 硬件测试

你就描述下功能

6 心得体会

6.1 遇到的问题及解决方法

自己写。

6.2 感想

自己

参考文献

[1]朱正伟.EDA技术及应用[M].北京:清华大学出版社,2005

[2]沈明山.EDA技术及可编程器件应用实例[M].北京:科学出版社,2004

[3] 杨恒. FPGA/VHDL快速工程实践入门与提高[M].北京:北京航空航天大学出版社,2003

函数发生器的设计

函数发生器的设计

目录 一、设计任务与要求 二、方案与论证 1.正弦波产生电路: 1. 1RC桥式正弦波振荡电路: 2.正弦波变换为方波的电路: 2.1 电压比较器电路: 3.方波变换为三角波的电路: 3.1 积分运算电路: 三、仿真 四、元器件清单 五、调式与性能分析:

一、 设计任务与要求: 掌握方波——三角波——正弦波函数发生器的设计方法与测试技术。了解集成运算放大器与晶体管差分放大器组成的函数发生器的工作原理与设计方法。学会安装与调试由分离器件与集成电路组成的多级电子电路小系统。 设计并制作一个简易函数发生器,要求如下: 1. 输出波形:正弦波、方波、三角波等 2. 频率范围:1Hz~10Hz, 10Hz~100Hz 3. 输出电压:方波Vp-p<=24V , 三角波Vp-p<=8V , 正弦波Vp-p>1V . 二、方案与论证 方案总体分为三部分,先设计一个正弦波发生电路,再将正弦波信号经迟滞比较器转化为方波,再将方波经积分运算转变为三角波。 正弦波 方波 三角波 1. 正弦波产生电路: RC 桥式振荡电路原理图如下: RC 桥式振荡电路 迟滞比较器 积分电路

3 2 6 7 415 U1 UA741 C C R R RF R1 0R1 由选频网络和放大电路两部分组成。选频网络兼作放大电路的正反馈,反馈系数Fv = Vf / V o ,当f =1 / (2πRC) 时,幅频响应的幅值为最大Fmax = 1/3 ,相频响应的相位角为零。也就是说,只有当f =1 / (2πRC) 时,输出电压的幅值最大,为输入电压的1/3,且输出电压与输入电压同相。 噪声中有f =1 / (2πRC) 这个频率,直流电源提供能源,选频网络的正反馈使输出频率越来越大,最后受电路中非线性元件的限制,振荡幅度自动稳定下来。适当调整负反馈的强弱,使Av

函数信号发生器设计方案

函数信号发生器的设 计与制作 目录 一.设计任务概述 二.方案论证与比较 三.系统工作原理与分析 四.函数信号发生器各组成部分的工作原理 五.元器件清单 六.总结 七.参考文献

函数信号发生器的设计与制 一.设计任务概述 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 二、方案论证与比较 2.1·系统功能分析 本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案: 2.2·方案论证 方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。 方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率相信都很难控制。 方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300K 方案四:采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于1-10Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 三、系统工作原理与分析 采用由集成运算放大器与场效应管共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过场效应管正弦波转换电路形成正弦波,波形转换原理图如下:

函数信号发生器的设计 EDA课程设计

摘要 本说明书首先介绍了VHDL语言的特点及发展史;接着简要说明了D/A接口(函数发生器)的工作原理及设计思想和设计方案的确定;然后着重解释了使用VHDL语言设计D/A接口(函数发生器)的具体操作步骤及主要流程。为了更加详细的解释清楚主要流程在本课程设计说明书中还附加了相应的图片。最后还附加了实现设计的VHDL源程序。 关键词:VHDL D/A接口设计

绪论 EDA是电子设计自动化(Electronic Design Automation)的缩写。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言 HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使设计者的工作仅局限于利用软件的方式来完成对系统硬件功能的实现,可以说EDA技术的产生与发展是电子设计技术的一个巨大进步。EDA技术融合了众多电子设计技术和计算机辅助技术,使得它在现代电子学方面的应用越来越广泛,也成为电子、电气类大学生必须熟练掌握的一种设计工具。 硬件描述性语言HDL是EDA技术的重要组成部分,常见HDL的有VHDL、HDL、ABEL、Verilog、AHDL、SystemC等。其中VHDL、Verilog在现在的EDA 设计中使用的最多,也拥有了几乎所有主流EDA工具的支持,而相对于其他语言VHDL更加完善。VHDL是英文全名是VHSIC(Very High Speed Integrated Circuit) Hardware Description Language,是硬件描述语言的业界标准之一。它作为一个规范语言和建模语言,具有与具体硬件电路无关及设计平台无关的特性,而且还有很强的电路行为描述和建模能力,能从多个层次的数字系统进行建模和描述,从而大大简化了硬件设计的任务,提高了设计效率和可靠性。 D/A转换器的功能是把二进制数字信号转换为与其数值成正比的模拟信号。AD558是并行8位D/A转换芯片,应用CPLD可以完成对AD558的控制。CPLD 与CPLD结合之后可以完成函数发生器的基本功能:波形输出。要实现这种结合就需要应用VHDL语言完成D/A接口的设计。通过合适的VHDL语言可以完成递增斜波、递减斜波、三角波、递增阶梯波的输出。

基于51单片机的函数信号发生器的设计

龙源期刊网 https://www.360docs.net/doc/6c17764022.html, 基于51单片机的函数信号发生器的设计 作者:朱兆旭 来源:《数字技术与应用》2017年第02期 摘要:本文所设计的系统是采用AT89C51单片机和D/A转换器件DAC0832产生所需不 同信号的低频信号源,AT89C51 单片机作为主体,采用D/A转换电路、运放电路、按键和LCD液晶显示电路等,按下按键控制生成方波、三角波、正弦波,同时用LCD显示相应的波形,输出波形的周期可以用程序改变,具有线路简单、结构紧凑、性能优越等特点。 关键词:51单片机;模数转换器;信号发生器 中图分类号:TP391 文献标识码:A 文章编号:1007-9416(2017)02-0011-01 1 前言 波形发生器,是一种作为测试用的信号源,是当下很多电子设计要用到的仪器。现如今是科学技术和设备高速智能化发展的科技信息社会,集成电路发展迅猛,集成电路能简单地生成各式各样的波形发生器,将其他信号波形发生器于用集成电路实现的信号波形发生器进行对比,波形质量、幅度和频率稳定性等性能指标,集成电路实现的信号波形发生器都胜过一筹,随着单片机应用技术的不断成长和完善,导致传统控制与检测技术更加快捷方便。 2 系统设计思路 文章基于单片机信号发生器设计,产生正弦波、方波、三角波,连接示波器,将生成的波形显示在示波器上。按照对作品的设计研究,编写程序,来实现各种波形的频率和幅值数值与要求相匹配,然后把该程序导入到程序存储器里面。 当程序运行时,一旦收到外界发出的指令,要求设备输出相应的波形时,设备会调用对应波形发生程序以及中断服务子程序,D/A转换器和运放器随之处理信号,然后设备的端口输出该信号。其中,KEY0为复位键,KEY1的作用是选择频率的步进值,KEY2的作用是增加频 率或增加频率的步进值,KEY3的作用是减小频率或减小频率的步进值,KEY4的作用是选择三种波形。103为可调电阻,用于幅值的调节。自锁开关起到电源开关的作用。启动电源,程序运行的时候,选择正弦波,红色LED灯亮起;选择方波,黄色LED灯亮起;选择三角波,绿色LED灯亮起。函数信号发生器频率最高可达到100Hz,最低可达到10Hz,步进值0.1- 10Hz,幅值最高可到3.5V。系统框图如图1所示。 3 软件设计

函数信号发生器的设计与制作

函数信号发生器的设计、和装配实习 一.设计制作要求: 掌握方波一三角波一正弦波函数发生器的设计方法和测试技术。学会由分立器件和集成电路组成的多级电子电路小系统的布线方法。掌握安装、焊接和调试电路的技能。掌握在装配过程中可能发生的故障进行维修的基本方法。 二.方波一三角波一正弦波函数发生器设计要求 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形。其电路中使用的器件可以是分立器件,也可以是集成电路(如单片集成电路函数发生器ICL8038)。本次电子工艺实习,主要介绍由集成运算放大器和晶体管差分放大器组成的方波一三角波一正弦波函数信号发生器的设计和制作方法。 产生正弦波、方波、三角波的方案有多 种: 1:如先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分 电路将方波变成三角波。 2:先产生三角波一方波,再将三 角波变成正弦波或将方波变成正弦波。 3 3:本次电路设计,则采用的图1函数发生器组成框图 是先产生方波一三角波,再将三角波变换成正弦波的电路设计方法。此钟方法的电路组成框图。如图1所示:可见,它主要由:电压比较器、积分器和差分放大器等三部分构成。 为了使大家能较快地进入设计和制做状态,节省时间,在此,重新复习电压比较器、积分器和差分放大器的基本构成和工作原理: ,并判所谓比较器,是一种用来比较输入信号v1和参考电压V REF 断出其中哪个大,在输出端显示出比较结果的电路。 在《电子技术基础》一书的9.4—非正弦波信号产生电路的9.4.1中,专门讲述了: A:单门限电压比较器、B:过零比较器 C:迟滞比较器的电路结构和工作原理。 一、单门限电压比较器 所谓单门限电压比较器,是指比较器的输入端只有一个门限电压。

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器 实验报告 学院(系) 专业、班级 学生姓名 学号 小组其他队员: 指导教师

(1)实验要求 (2)总体设计思路 (3)程序仿真 (4)实验结果 (5)心得体会 一.实验要求 (1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。 (3)在电路板上可以对波形进行选择输出。 (4)在电路板上可以对波形的频率与幅度进行调节。 二.总体设计思路 信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。 总体框架图如下: (1)分频 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如

VHDL、Verilog HDL等。本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。 分频器原理图: 在我们本次试验中的实现即为当按下按键时,频率自动减半。如当输入为100MHZ,输出为50MHZ。 (2)信号的产生。 根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。ROM 的地址信号发生器,有七位计数器担任。LPM_ROM底层是FPGA 中的M4K等模块。然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。 信号产生模块:

函数发生器设计和仿真实现

课程设计 课程名称模拟电子技术基础课程设计题目函数发生器 学院 专业 班级 姓名 指导教师 2015 年01 月20 日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 函数发生器的设计和仿真实现 初始条件: 具备模拟电子电路的理论知识; 具备模拟电路基本电路的设计能力; 具备模拟电路的基本调试手段; 自选相关电子器件。 要求完成的主要任务: (1)设计任务 根据要求,完成对方波-三角波-正弦波发生器的仿真设计、仿真、装配与调试,并自制直流稳压电源 (2)设计要求 ①正弦波Upp≈3V,幅度连续可调;三角波Upp≈5V,幅度连续可调;方波Upp≈14V,幅度连续可调。 频率范围:三段:10~100Hz,100 Hz~1KHz,1 KHz~10 KHz; 频率控制方式:改变RC时间常数; 正弦波输出电量:电流; ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、 2015 年 1月13日集中,作课设具体实施计划与课程设计报告格式的要求说明,查阅相关资料,学习电路的工作原理。。 2、 2015 年 1月14日至2015年1月16日,方案选择和电路设计。 3、 2015 年 1月 17日至2015年1月18日,电路调试和设计说明书撰写。 4、 2015 年 1月 20日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

函数信号发生器的设计与实现

实验1 函数信号发生器的设计与实现 姓名:_ _____ 学号: 班内序号:____ 课题名称:函数信号发生器的设计 摘要:采用运算放大器组成的积分电路产生比较理想的方波-三角波,根 据所需振荡频率和对方波前后沿陡度、方波和三角波幅度的要求,选择运放、稳压管、限流电阻和电容。三角波-正弦波转换电路利用差分放大器传输特性曲线的非线性实现,选取合适的滑动变阻器来调节三角波的幅度和电路的对称性,同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词:方波三角波正弦波 一、设计任务要求 1.基本要求:

设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。 (1) 输出频率能在1-10KHz范围内连续可调,无明显失真。 (2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。 (3) 三角波Uopp=8V(误差小于20%)。 (4) 正弦波Uopp1V,无明显失真。 2.提高要求: (1) 输出方波占空比可调范围30%-70%。 (2) 自拟(三种输出波形的峰峰值Uopp均可在1V-10V内连续可调)。 二、设计思路和总体结构框图 总体结构框图: 设计思路: 由运放构成的比较器和反相积分器组成方波-三角波发生电路,三角波输入差分放大电路,利用其传输特性曲线的非线性实现三角波-正弦波的转换,从而电路可在三个输出端分别输出方波、三角波和正弦波,达到信号发生器实验的基本要求。 将输出端与地之间接入大阻值电位器,电位器的抽头处作为新的输出端,实现输出信号幅度的连续调节。利用二极管的单向导通性,将方波-三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。 三、分块电路和总体电路的设计过程 1.方波-三角波产生电路 电路图:

EDA课程设计-正弦信号发生器的设计

《EDA技术》设计报告 设计题目正弦信号发生器的设计 院系:信息工程学院 专业:通信工程____ 学号: 姓名:__________

一.设计任务及要求 1.设计任务: 利用实验箱上的D/A 转换器和示波器设计正弦波发生器,可以在示波器上观察到正弦波 2.设计要求: (1) 用VHDL 编写正弦波扫描驱动电路 (2)设计可以产生正弦波信号的电路 (3)连接实验箱上的D/A 转换器和示波器,观察正弦波波形 二.设计方案 (1)设计能存储数据的ROM 模块,将正弦波的正弦信号数据存储在在ROM 中,通过地址发生器读取,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 (2)用VHDL 编写正弦波信号数据,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 三.设计框图 图 1 设计框图 信号发生器主要由以下几个部分构成:计数器用于对数据进行采样,ROM 用于存储待采样的波形幅度数值,TLV5620用于将采集的到正弦波数字量变为模拟量,最后通过示波器进行测量获得的波形。其中,ROM 设置为7根地址线,8个数据位,8位并行输出。TLV5260为串行输入的D/A 转换芯片,因此要把ROM 中并行输出的数据进行并转串。 四.实现步骤 1.定制ROM 计 数 器 7根地址线 8 位 R O M 并转串输出 CLK TLV5620D/A 转换 RST

ROM的数据位选择为8位,数据数选择128个。利用megawizard plug-in manager定制正弦信号数据ROM宏功能块,并将上面的波形数据加载于此ROM中。如图3所示。 图2 ROM存储的数据 图3 调入ROM初始化数据文件并选择在系统读写功能 2.设计顶层

函数信号发生器设计报告

目录 1设计的目的及任务 1.1 课程设计的目的 1.2 课程设计的任务与要求 2函数信号发生器的总方案及原理图 2.1 电路设计原理框图 2.2 电路设计方案设计 3 各部分电路设计及选择 3.1 方波发生电路的工作原理 3.2 方波、三角波发生电路的选择 3.3三角波---正弦波转换电路的选择 3.4总电路图 4 电路仿真与调试 4.1 方波---三角波发生电路、三角波---正弦波转换电路的仿真与调试 4.2方波---三角波发生电路、三角波---正弦波转换电路的实验结果 5 PCB制版 6 设计总结 7仪器仪表明细清单 8 参考文献

1.课程设计的目的和设计的任务 1.1 设计目的 1.掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。 2.学会安装、调试与仿真由分立器件、调试与仿真由分立器件与集成电路组成的多级电子电路小系统。 2.2设计任务与要求: 设计一台波形信号发生器,具体要求如下: 1.输出波形:方波、三角波、正弦波。 2.频率范围:在1 Hz-10Hz,10 Hz -100 Hz,100 Hz -1000 Hz等三个波段。 3.频率控制方式:通过改变RC时间常数手控信号频率。 4.输出电压:方波U P-P≤24V,三角波U P-P =8V,正弦波U P-P >1V。 5.合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图。 6.选用常用的电器元件(说明电器元件选择过程和依据)。 7.画出设计的原理电路图,作出电路的仿真。 8.提交课程设计报告书一份,A3图纸两张,完成相应答辩。

2.函数发生器总方案及原理框图 图1-1 整体原理框图 2.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法。 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路的基本结构是比例放大器,对不同区段内比例系数的切换,是通过二级管网络来实现的。如输出信号的正半周内由D1~D3控制切换,负半周由D4~D6控制切换。电阻Rb1~Rb3与Ra1~Ra3分别组成分压器,控制着各二极管的动作电平。

eda课程设计 信号发生器

目录 1. 引言 (1) 2. VHDL语言及Quartus II软件介绍 (2) 2.1 VHDL语言 (2) 2.2 Quartus II软件 (2) 3.总体设计思想及流程 (3) 4. 具体程序实现模块 (4) 4.1倍频器模块 (4) 4.2主程序模块 (4) 4.3 波形显示模块 (5) 4.4频率显示模块 (5) 5. 软件仿真 (6) 6. 硬件显示 (7) 7. 总结与体会 (8) 参考文献 (9) 附录 (10) 附录1. 整体系统原理图 (10) 附录2. 主程序 (11)

1. 引言 信号发生器是一种能够产生多种波形,如三角波、方波、锯齿波、正弦波的仪器。信号发生器在电路实验和设备检测以及通信、雷达、导航、宇航等领域有广泛的应用。正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现。简易信号发生器是信号发生器的一种。可以实现信号发生器的一些基本功能。本次课程设计要求设计的是一种简易信号发生器。 在本设计中要求设计的简易信号发生器是采用VHDL来实现的简易多功能信号发生器。它能产生正弦波,三角波和方波。且对各种波形的要求如下:(1)设计任意信号发生器,使之能够生成正弦波、三角波和方波; (2)电路的外部频率为40MHz,要求信号发生器可产生0-1KHz、 1KHz~10KHz、10KHz~1MHz三档频率的信号; (3)要求具有波形选择和频率选择的功能; (4)在同一频率档内,可实现频率的加减; (5)要求显示波形的同时能够进行频率的调节; (6)要求能够显示波形:A——正弦波;B——三角波;C——方波; (7)要求能够显示频率值; (8)可用示波器进行波形的观测。

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

函数信号发生器的设计与实现 (1)资料

计算机与信息学院 电子信息工程系综合课程设计报告 专业班级 电子信息工程11-2班 学生姓名及学号 陈雪莹20112661 指导教师 方静 课题名称 函数信号发生器 2013~2014 学年第三学期

函数信号发生器的设计与实现 一.课题的基本描述 在科学研究和实际工业测量控制系统开发过程中,方波、三角波和正弦波等是常用的基本测试信号,函数信号发生器就是用来产生、模拟这些真实信号源的通用电子设备。本课题要求设计一种以单片机为控制器的简易函数信号发生器,包含:主控电路、D/A转换电路、按键和波形选择电路以及显示输出电路,可以输出正弦波、三角波和方波三种信号,输出信号的频率可用按键进行增、减调整,并在LCD(12864)实时显示输出波形。 二.设计的基本要求 1. 正弦波、三角波频率调节范围:0.1-50HZ 输出幅值:1.0-1.5V 方波频率调节范围:1Hz-1KHz 输出幅值:5V 2.通过按键选择输出信号类型,幅值、频率等相关指标; 3. 具有显示输出波形的频率和幅度的功能。 三.技术方案及关键问题 (1).总体方案: 数字信号可以通过数/模转换器转换成模拟信号,因此可通过产生数字信号再转换成模拟信号的方法来获得所需要的波形。89C51单片机本身就是一个完整的微型计算机,具有组成微型计算机的各部分部件:中央处理器CPU、随机存取存储器RAM、只读存储器ROM、I/O接口电路、定时器/计数器以及串行通讯接口等,只要将89C51再配置按键、数模转换及波形输出等部分,即可构成所需的函数信号发生器。因此本系统利用单片机AT89C51采用程序设计方法产生三角波、正弦波、矩形波三种波形,再通过D/A转换器PCF8591T将数字信号转换成模拟信号,最终由液晶屏12864显示出来。通过按键来控制三种波形的类型选择、频率和幅度的变化,并通过数码管显示其各自的类型,液晶屏显示幅度和频率的大小。系统大致包括信号发生部分、数/模转换部分以及液晶显示部分三部分。

EDA课程设计_多功能波形信号发生器

目录 摘要 (1) 一、设计要求 (3) 三、系统设计思路 (4) 3.1 波形函数发生装置的选择 (4) 3.2 波形输入输出控制方式的选择 (5) 四、各模块设计及仿真 (6) 4.1函数发生模块 (6) 4.1.1 正弦波模块 (6) 4.1.2 方波模块 (7) 4.1.3 递增锯齿波模块 (9) 4.1.4 递减锯齿波模块 (10) 4.1.5 阶梯波模块 (12) 4.1.6 三角波模块 (13) 4.2调控模块 (15) 4.2.1波形输出控制单元 (15) 4.2.2波形输入控制单元 (16) 4.2.3频率控制单元 (18) 4.2.4幅度控制单元 (20) 4.3 D/A转换器 (21) 4.4 总电路 (24) 五、硬件测试 (25)

5.1编译 (25) 5.2 引脚的锁定 (26) 5.3编程下载 (27) 5.4 硬件验证 (28) 六、课程设计心得体会 (31) 参考文献 (32) 附录 (33) 摘要 本次设计课题为应用VHDL语言及MAX+PLUSII软件提供的原理图输入设计功能,组合电子线路的设计加以完成一个任意波形信号发生器。它具有结构紧凑,性能稳定,设计结构灵活,方便进行多功能组成的特点,经济实用,成本低廉的特点。可产生正弦波、方波、三角波、递增锯齿波、递减锯齿波以及阶梯波,并可使用示波器观察波形。实现了系统信号实时快速测量,也为其广泛应用于实际领域创造了条件。 在实现过程中,将整体功能模块化,分为函数发生模块和调控模块。在调控模块中实现了调频调幅以及对于波形的输入输出控制。对于D/A转化器,本实验选择的是TLC7528,利用简单的8进制计数控制CS和WR端口的同步输出, 实现数模转换的同时,保持相应位的同步实现。 在课程设计中遇到了诸多困难,在用示波器显示波形时,却总是得不到稳定的波形,后来发现在输入控制中,仅需要3位二进制数即能完成简单的8进制计数,自己却习惯性的用了8位,这使得分频现象严重,更改后即得到了了稳定的

基于51单片机函数信号发生器设计

摘要:本系统利用单片机AT89S52采用程序设计方法产生锯齿波、正弦波、矩形波三种波形,再通过D/A转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,能产1Hz—3kHz的波形。通过键盘来控制三种波形的类型选择、频率变化,并通过液晶屏1602显示其各自的类型以及数值,系统大致包括信号发生部分、数/模转换部分以及液晶显示部分三部分,其中尤其对数/模转换部分和波形产生和变化部分进行详细论述。 关键词:单片机AT89S52、DAC0832、液晶1602 Abstract: this system capitalize on AT89s52,it makes use of central processor to generate three kinds of waves, they are triangle wave, and use D/A conversion module, wave generate module and liquid crystal display of 1602, it can have the 1Hz-3KHz profile. In this system it can control wave form choosing, frequency, range,can have the sine wave, the square-wave, the triangular wave. Simultaneously may also take the frequency measurement frequency,and displays them through liquid crystal display of 1602.this design includes three modules. They are D/A conversion module, wave generate module and liquid crystal display of LED module. In this design, the wave generator into wave form module and D/A conversion module are discussed in detail. key word: AT89S52, DAC0832, liquid crystal 1602.

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

EDA实验 函数信号发生器

EDA设计实验 题目:函数信号发生器 作者: 所在学院:信息科学与工程学院 专业年级: 指导教师: 职称: 2011 年 12 月 11 日

函数信号发生器 摘要:函数信号发生器在生产实践和科技领域有着广泛的应用。本设计是采用了EDA技术设计的函数信号发生器。此函数信号发生器的实现是基于VHDL语言描述各个波形产生模块,然后在QuartusⅡ软件上实现波形的编译,仿真和下载到Cyclone芯片上。整个系统由波形产生模块和波形选择模块两个部分组成。最后经过QuartusⅡ软件仿真,证明此次设计可以输出正弦波、方波、三角波,锯齿波,阶梯波等规定波形,并能根据波形选择模块的设定来选择波形输出。 关键字:函数信号发生器;Cyclone;VHDL;QuartusⅡ 引言: 函数信号发生器即通常所说的信号发生器是一种常用的信号源,广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格要求的电信号设备是最普通、最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。随着现代电子技术的飞速发展,现代电子测量工作对函数信号信号发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波性,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度、及分辨率高等。本文基于

EDA设计函数信号发生器,并产生稳定的正弦波、方波、锯齿波、三角波、阶梯波。 正文: 1、Quartus II软件简介 1)Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第

信号发生器设计(附仿真)

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器 A 1 输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

相关文档
最新文档