北京科技大学EDA课程设计报告

EDA课程设计报告

基于8051的可编程电量监测及显示系统

仿真电路设计

院(系):自动化

专业:自动化

学生姓名:宋凯

学号: 41351080

指导教师:王玲

小组分工

赵子秋:负责电路系统搭建。

宋凯:负责程序设计及调试。

陈经纬:负责课题选择、资料搜集以及协助调试电路及程序。

2015年10月 28 日

目录

一、概述 (3)

二、设计要求 (3)

2.1硬件要求 (3)

2.2软件要求 (3)

2.3其他要求 (4)

三、总体框图 (4)

四、功能模块 (5)

4.1模拟电源模块: (5)

4.2A/D采样模块 (6)

4.38051控制、1602显示模块及蜂鸣器低电位报警模块 (6)

五、总体设计电路图 (7)

六、系统测试与结果分析 (10)

七、设计心得体会 (12)

八、参考文献 (12)

概述

本设计主要想法来自与生活中常用到的电池的电量显示,采用multisim中MCU库内的8051可编程控制芯片做主要控制芯片。外围模块包括LCD1602显示模块、蜂鸣器报警模块和电源电量模拟模块。通过外围电路的连接以及对8051写入相应程序,最终利用MULTISIM实现了对一个电源电量监测及显示系统的仿真。

设计要求

硬件要求

为了很好的实现在Multisim中对系统功能的实现,首先我们得了解8051可编程芯片的功能。由于8051自身不带A/D、D/A转换模块,我们还得了解A/D模块的使用方法。由于本次设计的显示模块用到了LCD1602,我们还得掌握LCD1602的配置使用方法及通信方式。最后搭建的电路要实现稳定输出、走线美观等要求。

软件要求

首先我们必须熟练掌握Multisim仿真软件的使用,其次因为本设计用到了可编程芯片8051,所以我们还得掌握一个编程软件的应用(本次设计选用的是Keil5)。

其他要求

我们必须掌握一些简单的数字电路的应用及查阅相关的相关技巧。

总体框图

功能模块

1、模拟电源模块:

说明:为了模拟生活中电源电量,我们采用了如上图的电路结构。电路总电量是5V,其中Key=D是切换开关,当开关置于下端时,通过调节电位器R2可以对5V进行分压送入A/D模块采样端,模拟电源剩余电量不同时的情景,便于调试后面的显示电路时观测。当开关置于上端时,电容C1作为电源,R1作为生活中消耗电源电量的用电设备,S3的开断则表示用电设备用电与否,U6A的基极接到了8051芯片的PB3端,便于编程控制实现当检测到电源电量(即电容存放的电量)低于设定百分比时即刻给电容充满,同时接在PB3端的灯泡X2亮表示正在充电。完成充电后电容放电表示正在用电,如此循环,最终达到模拟生活中电源用电的目的。

2、A/D采样模块

说明:本设计A/D采样模块采用了Multisim自带的ADC模块,Vin端为模拟电源模块送来的模拟量(电源电压值),经过芯片内部转换成D0—D7端8位数字量送给8051可编程芯片进行程序处理。

3、8051控制、1602显示模块及蜂鸣器低电位报警模块

说明:8051可编程控制芯片的P1口接A/D模块送来的数字量,P0口接LCD1602的八个并行数据端。其中的蜂鸣器BUZZER则可在电量低于25%(程序控制)时产生“嘟嘟”的报警声,同时小灯泡X1也会亮起报警信号。

总体设计电路图

程序源码:

#include

#include

#include

sbit RS=P3^5;

sbit E=P3^4;

sbit RW=P3^6;

sbit BUZZER=P3^7;

sbit CHARGE=P3^3;

typedef unsigned char u8;

typedef unsigned int u16;

void delay(void)

{

_nop_();

}

void write1bytecmd(u8 byte)

{

RS=0;

RW=0;

E=1;

delay();

P0=byte;

delay();

E=0;

RS=1;

RW=1;

}

void write1bytedata(u8 byte) {

RS=1;

RW=0;

E=1;

delay();

P0=byte;

delay();

E=0;

RS=1;

RW=1;

}

void InitHD44780(void) {

write1bytecmd(0x38); write1bytecmd(0x0c); write1bytecmd(0x06); write1bytecmd(0x01);

}

void Display(void)

{

write1bytecmd(0x80);

write1bytedata('V');

write1bytedata('o');

write1bytedata('l');

write1bytedata('t');

write1bytedata('a');

write1bytedata('g');

write1bytedata('e');

write1bytedata(':');

write1bytecmd(0xc0);

write1bytedata('R');

write1bytedata('e');

write1bytedata('m');

write1bytedata('a');

write1bytedata('i');

write1bytedata('n');

write1bytedata(':');

}

void main(void)

{

u16 advalue;

float voltage;

int z,x;

int temp;

int b,s,g;

int pb,ps,pg;

int percent;

BUZZER=0;

InitHD44780();

Display();

while(1)

{

advalue=P1;

voltage=(advalue)/256.0*5;

percent=voltage*20;

temp=(int)voltage;

if(percent<=25)

BUZZER=1;

else

BUZZER=0;

if(percent<10)

CHARGE=1;

if(percent>85)

CHARGE=0;

z=temp;

x=(voltage-temp)*1000;

write1bytecmd(0x88);

write1bytedata(0x30+z);

write1bytecmd(0x89);

write1bytedata('.');

b=x/100;

s=(x-b*100)/10;

g=x%10;

pb=percent/100;

ps=(percent-pb*100)/10;

pg=percent%10;

write1bytecmd(0x8a);

write1bytedata(0x30+b);

write1bytecmd(0x8b);

write1bytedata(0x30+s);

write1bytecmd(0x8c);

write1bytedata(0x30+g);

write1bytecmd(0x8d);

write1bytedata('V');

write1bytecmd(0xc7);

if(pb==0)

write1bytedata(' ');

if(pb==1)

write1bytedata(0x31);

write1bytecmd(0xc8);

if(ps==0)

write1bytedata(' ');

else

write1bytedata(0x30+ps);

write1bytecmd(0xc9);

write1bytedata(0x30+pg);

write1bytecmd(0xca);

write1bytedata('%');

}

}

系统测试与结果分析

模拟电源充满电时:

此时按下模拟负载放电开关C:

此时观察到LCD显示电压正在下降。

当电量低于25%时,X1低电压报警灯亮,如图所示:

当电量低于10%时,X2充电指示灯亮,模拟电源充电值满电压的85%以上。如图所示:

SPACE开关为LCD背光,S3开关为模拟负载放电,S4开关为选择正常模式和模拟电压输入测试。

设计心得体会

本次设计我们以掌握实际应用电路设计方法为出发点,假想在使用Altium Designer设计PCB板之前要先进行仿真设计,从而通过这个简单的可编程控制电路的仿真了解了在进行EDA设计时Multisim仿真的应用。为我们后期制作小型控制系统打下了坚实的基础,为我们在设计PCB电路时增加了一道保险,为我们理解可编程芯片与外围电路的应用提供了很好的机会。

参考文献

[1]https://www.360docs.net/doc/6c18861100.html,,LCD1602液晶完整中文资料。

指导教师评语及学生成绩

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

燕山大学软件工程课程设计

燕山大学 课程设计报告自习室座位管理系统 学院信息科学与工程学院年级专业09级计算机科学2班学生姓名XXX 090104010XXX XXX 090104010XXX XXX 090104010XXX 指导教师XXX 提交日期2012-6-14

摘要 本次课程设计在Windows 7平台上,以VS2010作为界面开发工具,分析设计了“图书馆自习室座位管理系统”。学生可以通过终端进行座位申请、座位退还、座位保留操作;管理员可以通过账户登录获取管理权限,对数据库进行更新和修改。 本报告中首先说明了该系统的特点与业务需求,构造了系统的数据模型、功能模型和动态模型,之后详细说明了系统的业务流程和系统开发流程,重点介绍了系统各模块的功能及相关功能的实现方向。 关键词座位管理系统;座位;数据库;VS2010;动态模型;模块

目录 摘要 (1) 第1章绪论 (2) 1.1 课题背景 (3) 1.2 课题意义 (4) 1.3 选题依据 (5) 第2章系统需求分析 (10) 2.1 系统功能描述 (10) 2.2 系统功能需求 (11) 2.3系统功能模块图 (12) 第3章系统总体设计 (13) 2.1 系统方案选取 (14) 2.2 系统功能设计 (15) 2.3数据库设计 (16) 结论 (18) 参考文献 (53)

第一章绪论 1.1 背景和意义 在大学中,公共自习室的座位管理是一个很重要的问题,因为它牵扯到能否让每个同学公平地享用到其应有的公共资源,同时更好的做好配合学校教学的服务工作,所以一个有力的图书馆座位管理系统不可或缺。由于图书馆的座位是免费使用,所以必须要做到公平;但是,图书馆的座位资源有限,应该得到最大限度地使用,所以必须讲究效率。每到学期末或考试周,图书管的公共自习室就变成了紧俏资源,一系列因为管理上的不力所产生的问题接踵而至,例如:一些座位被长期占用却得不到回收,一人同时占用多个座位,座位信息不能及时反馈给同学等等。一个有力的座位管理系统可以公平管理和分配公共资源,使其得以充分利用,并节省人力物力,避免人为因素所导致的错误,同时还可以实时更新信息使得信息统一从而为同学节省宝贵时间。 现代信息技术的飞速发展给我们生活带来了极大的便利,尤其对于复杂的信息管理,计算机能够充分发挥它的优越性。作为计算机应用的一部分,管理信息系统具有着手工管理所无法比拟的优点,例如:检索迅速、查找方便、可靠性高、存储量大、寿命长、实时性好、成本低等。如果我们将信息技术运用至自习室座位管理系统,那么再给我们带来方便的同时,也能让我们时刻体会到数字化的乐趣。 1.2 主要内容和工作 (1)前期准备:做好需求分析工作,作为一个座位管理系统,使用者应该以学生为主,自习室座位信息更新界面应简单易用,输入方便,针对学生对系统的实时性要求较高的特点,要做好数据库的设计。需求分析之后要进一步明确问题域,站在用户人群的角度进行开发。进行可行性分析,从经济、技术、操作等方面入手,看问题能否解决。 (2)设计实施:对系统功能进行调查分析,逐步抽象,构造功能模块,建立系统的功能模型、数据模型、动态模型。然后进行总体设计,完成系统的大致框架,画出层次图。然后再进行详细设计工作,完成数据编码工作,设计出数据库和人机界面。

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

燕山大学操作系统课程设计说明书

燕山大学课程设计说明书课程设计名称:操作系统 题目:多道程序缓冲区协调操作 (模拟生产者消费者问题) 课题负责人: 学院:信息科学与工程学院 班级: 姓名: 学号: 课题开发日期:2014年1月13日 自评成绩: A

目录 1概述-------------------------------------------------------------------- ------------------3 目的--------------------------------------------------------3 主要完成的任务----------------------------------------------3 使用的开发工具、开发语言------------------------------------3 本软件解决的主要问题 ---------------------------------------4 2 设计的基本理念、概念和原理------------------------------------------------4 设计的基本理念----------------------------------------------4 基本概念----------------------------------------------------4 基本原理----------------------------------------------------5 3 总体设计----------------------------------------------------5 基本的技术路线:面向对象--------------------------------------------------------5 模块关系及总体流程-------------------------------------------5 4 详细设计----------------------------------------------------7 变量设计----------------------------------------------------7 线程的设计--------------------------------------------------7 button按钮的设计-------------------------------------------8 5编码设计----------------------------------------------------9 开发环境----------------------------------------------------9 注意事项----------------------------------------------------9 主要代码设计------------------------------------------------9 PUTTER线程的设计---------------------------------------------------9 MOVER1线程的设计---------------------------------------------------10 GETTER1线程的设计--------------------------------------------------11 “开始”按钮的设计--------------------------------------------------12 “结束”按钮的设计--------------------------------------------------14 解决的主要难题----------------------------------------------16 6测试出现的问题及其解决方案-------------------------------16 7工程总结----------------------------------------------------16 8参考文献----------------------------------------------------16

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

燕山大学发电厂电气部分课程设计 大型骨干电厂电气主接线

目录 第一章原始资料的分析 (1) 1.1电压等级 (1) 第二章电气主接线方案 (1) 2.1 电气主接线设计的基本原则 (1) 2.2 具体方案的拟定 (2) 第三章主要电气设备的选择 (4) 3.1 发电机 (4) 3.2 主变压器 (4) 3.4 断路器和隔离开关 (5) 3.5电压互感器 (8) 3.6电流互感器的选择 (9) 3.7 母线的导体 (10) 第四章方案优化 (11) 第五章短路电流计算 (12) 5.1 等效阻抗网络图 (12) 5.2阻抗标幺值计算 (12) 5.3 短路点短路电流计算 (14) Q的计算 (15) 5.4 短路电流热效应 K 第六章校验动、热稳定(设备) (17) 6.1断路器稳定校验 (18) 6.2 隔离开关稳定校验 (18) 6.3电流互感器稳定校验 (19) 6.4 母线导体稳定校验 (20) 第七章心得体会 (20) 参考资料 (21)

大型骨干电厂电气主接线 第一章原始资料的分析 1.1电压等级 根据原始资料的分析可知,需要设计的是一个大型骨干凝汽电厂,共有两个电压等级:220KV,500KV 1.2 系统(电源)、负荷 电压等级进出线回数负荷(max) 负荷(min) 220kv 4 600MW 300MW 500kv 6 1.3 发电机、主变压器容量及台数 发电机容量和台数为6× 300MW (QFSN-300-2) 因此主变压器的台数选为6台。 1.4 联络变压器 选择三绕组变压器,连接两个电压等级,剩余一端引接备用电源。 第二章电气主接线方案 2.1 电气主接线设计的基本原则 电气主接线设计的基本原则是以设计任务书为依据,以国家的经济建设方针、政策、技术规定、标准为准绳,结合工程实际情况,在保证供电可靠、调度灵活、满足各项技术要求的前提下、兼顾运行、维护方便,尽可能的节省投资,就近取材,力争设备元件和设计的先进性与可靠性,坚持可靠、先进、适用、经济、美观的原则。 电气主接线是由高压电器通过连接线,按其功能要求组成接受和分配电能的电路,成为传输强电流,高电压的网络,它要

EDA课程设计报告8线-3线优先编码器

Xxxxx学院 《EDA技术》课程报告 设计题目:8线-3线优先编码器班级:应用电子1101班姓名: 学号: 指导老师: 日期:

目录 一、8-3优先编码器设计原理分析 (3) 二、8-3优先编码器模块的源程序 (3) 三、8-3优先编码器仿真结果 (4) 四、设计总结和心得体会 (5) 五、参考资料 (5)

一、8-3优先编码器设计原理分析 8-3优先编码器输入信号为din0,d in1,din2,din3,din4,din5,din6和din7,输出信号为out2、out1、out0。输入信号中din7的优先级别最低,依次类推,din0的优先级别最高。也就是说若din0输入为1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din0输入为0(即为低电平)则看优先级仅次于din0的din1状态决定,依次类推。因为din0到din7共8中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表所示。 表1 8-3优先编码器真值表 二、8-3优先编码器模块的源程序 8-3优先编码器由VHDL程序来实现,VHDL语言描述如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR(0 TO 7);output : OUT STD_LOGIC_VECTOR(0 TO 2);EANABLE: in std_logic ); END coder; ARCHITECTURE behav OF coder IS SIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN PROCESS (din) BEGIN IF (EANABLE='0') THEN IF (din(0)='1') THEN output <= "000" ; ELSIF (din(1)='1') THEN output <= "100" ;

燕山大学11计算机编译原理课程设计安排

大校11计算机《编译原理》课程设计安排 时间:18周(12月30日-1月3日)地点:信息馆317、318实验室 一、设计目的:研究、改进或自行设计、开发一个简单的编译程序或其部分功能,加深对编译理论和编 译过程的理解。编程语言不限。 二、设计任务 (学号最后一位%4+1): 1.扩展PL/0编译程序功能 目的:扩充PL/0编译程序功能, 要求:(1)阅读、研究PL/0编译程序源文件。 (2)在上述工作基础上,可有选择地补充、完善其中词法分析、语法分析、语义分析、目标代码生成、目标代码解释执行等部分的功能。如以语法分析部分为例,则可以增加处理更多语法成分的功能,如可处理一维数组、++、--、+=、-=、*=、/=、%(取余)、!(取反)、repeat、for、else、开方、处理注释、错误提示、标示符或变量中可以有下划线等。还可以增加类型,如增加字符类型、实数类型;扩充函数如有返回值和返回语句的,有参数函数等; (3)设计编制典型的运行实例,以便能反映出自己所作的改进。 2. 基于LL(1)方法的语法分析程序 目的:设计、编制和调试一个典型的语法分析方法,进一步掌握常用的语法分析方法。 要求: (1)根据LL(1)分析法编写一个语法分析程序,可根据自己实际情况,选择以下一项作为分析算法 的输入:a.直接输入根据已知文法构造的分析表M; b.输入文法的FIRST(α)和FOLLOW(U)集合,由程序自动生成文法的分析表M; c.输入已知文法,由程序自动构造文法的分析表M。 (2)所开发的程序可适用于不同的文法和任意输入串,且能判断该文法是否为LL(1)文法。 (3)如完成前两项,可增加运行实例,对于输入的文法和符号串,所编制的语法分析程序应能正确判断此串是否为文法的句子,并要求输出分析过程。 3.基于LR(0)方法(或SLR(1)方法、或LR(1)方法)的语法分析程序 要求: 可根据自己实际情况,选择以下一项作为分析算法的输入: (1)直接输入根据己知文法构造的LR(0)(或SLR(1) 、或LR(1))分析表。 (2)输入已知文法的项目集规范族和转换函数,由程序自动生成LR(0) ( 或SLR(1) 、或LR(1))分析表; (3)输入已知文法,由程序自动生成LR(0) ( 或SLR(1) 、或LR(1))分析表。 目的和其它要求参考“基于LL(1)方法的语法分析程序” 4.词法分析程序设计 目的:设计、编制和调试一个具体的词法分析程序,加深对词法分析的理解。 要求: 通过对PL/0词法分析程序(GETSYM)的分析,编制一个具有以下功能的词法分析程序: a.输入为待进行词法分析的源程序,输出为单词串,即由(单词,类别)所组成的二元组 序列; b.有一定的错误检查能力,例如能发现2a这类不能作为单词的字符串。 选作题目:若以上题目均不感兴趣,可申请做选作题目。 ①基于Lex和Y acc的C-Minus编译器。 基于W indows环境下的Lex和Yacc集成环境Parser Generator, 实现了以C _ M inus ( C 语言子集) 语言为源语言的编译器。主要从编译技术的角度对C _ M inus语言的词法分析、语法分析、符号表的建立以及目标代码生成的过程进行详细的阐述。 ②利用Lex和Yacc工具制作一个小型的计算器编译器。 功能如下:1. 分别能够完成十进制、八进制、十六进制的一些基本运算。可以通过DEC_ON,OCT_ON,HEX_ON三个开关进行控制。 2.能够完成一些基本的算术运算和逻辑运算,如:加、减、乘除、乘方、取模、与、或、非等运算。 3.提供帮助提示操作,如:HELP命令,清屏命令CLEAR等,错误提示信息等。

EDA课程设计实验报告

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级1181 学号 2 姓名肖浪

指导教师乔汇东吴德建 2013年7月2日 湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1181 学生姓名肖浪 学号 2 指导老师乔汇东吴德建

任务书下达日期2013 年6月23日 任务完成日期2013 年7月2日 《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第十八周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附:

课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

燕山大学课程设计---移位与卷积

燕山大学 课程设计说明书题目:移位与卷积 学院(系):电气工程学院 年级专业:检测(2) 学号: 120103020122 学生姓名:赵家德 指导教师:王娜 教师职称:讲师

电气工程学院《课程设计》任务书 课程名称:数字信号处理课程设计 说明:1、此表一式四份,系、指导教师、学生各一份,报送院教务科一份。 2、学生那份任务书要求装订到课程设计报告前面。 电气工程学院教务科

目录 第一章、MATLAB简述 (1) 第二章、基本原理介绍 (2) 第三章、命令介绍、仿真及结论 (4) 3.1命令介绍 (4) 3.2仿真 (4) 3.2.1仿真总程序 (4) 3.2.2仿真图 (8) 3.3从程序仿真与仿真图得出的结论 (9) 第四章、心得体会 (10) 参考文献 (11)

第一章 MATLAB简述 MATLAB 是一个可视化的计算程序,被广泛地应用在科学运算领域里。它具有功能强大、使用简单等特点,内容包括:数值计算、符号计算、数据拟合、图形图像处理、系统模拟和仿真分析等功能。此外,用Matlab还可以进行动画设计、有限元分析等。 MATLAB系统包括五个主要部分: 开发环境:这是一组帮助你使用MATLAB的函数和文件的工具和设备。这些工具大部分是图形用户界面。它包括MATLAB桌面和命令窗口,命令历史,和用于查看帮助的浏览器,工作空间,文件和查找路径。 MATLAB数学函数库:这里汇集了大量计算的算法,范围从初等函数如:求和,正弦,余弦和复数的算术运算,到复杂的高等函数如:矩阵求逆,矩阵特征值,贝塞尔(Bessel)函数和快速傅立叶变换等。 MATLAB语言:这是一种高水平的矩阵/数组语言,含有控制流语句,函数,数据结构,输入/输出,和面向对象编程特征。它允许“小型编程”以迅速创立快速抛弃型程序,以及“大型编程”以创立完整的大型复杂应用程序。 句柄制图:这是MATLAB制图系统。它包括高级别的二维、三维数据可视化,图像处理,动画,以及表现图形的命令。它还包括低级别的命令,这使你不但能在MATLAB的应用中建立完整的图形用户界面,而且还能完全定制图形的外观。 MATLAB应用程序界面(API):这是使你编写与MATLAB相合的C或Fortran程序的程序库。它包括从MATLAB中调用程序(动态链接),调用MATLAB为计算引擎,和读写MAT-文件的设备。 MATLAB的重要作用与此次课程设计的关系 MATLAB是一款在数学类科技应用软件中特别是在数值计算方面首屈一指的软件,它可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、连接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。而线性卷积和循环卷积在工程上的应用亦非常广泛,在MATLAB软件处理下,实现任意两个序列的线性和循环卷积对于工程上的辅助是相当重要的。卷积关系最重要的一种情况,就是在信号与线性系统或数字信号处理中的卷积定理。利用该定理,可以将时间域或空间域中的卷积运算等价为频率域的相乘运算,从而利用FFT等快速算法,实现有效的计算,节省运算代价。

EDA课程设计报告-北京科技大学分析

EDA课程设计报告 题目彩灯循环闪烁电路的仿真学院自动化 专业班级自 姓名 学号 成绩 2014年12月

1、设计目的 (3) 2、设计原理 (3) (3) 3、单元电路的设计与仿真 (3) 3.1时钟脉冲产生电路 (3) 3.2计数器电路的设计与仿真 (5) 3.3译码和显示电路的设计 (6) 4、总体电路仿真 (7) 5、总结与收获 (9)

1、设计目的 1)彩灯能够自动循环闪烁 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路输出。 2、设计原理 彩灯循环闪烁电路的工作原理采用555定时器连接成多谐振荡器产生频率产生连续可调的时钟脉冲信号,然后将时钟信号输出通过计数器接受。然后,经过八进制加法计数器的计数实现循环功能。最后,通过译码器译码实现循环灯亮。 该电路主要分为三个模块,多谢振荡器模块、八进制加法计数器电路模块、译码器与彩灯电路模块。其结构框图如图1所示。 图1 彩灯循环闪烁电路的设计框图 3、单元电路的设计与仿真 3.1时钟脉冲产生电路 时钟脉冲产生电路由555定时器和外接元件R1、R2、R3、C1和C2构成多谐振荡器。管脚THR与管脚TRI直接相连。图2为,产生电路模块。电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号。利用电源通过R1、R2向C1充电,以及C1通过R2、R3向放电端DIS放电,使电路产生震荡。输出矩形波,为计数器提供脉冲源。其管脚2的电容充放电波形(黄色线条)和管脚3时钟脉冲输出波形(红色线条)如图3所示。

图2 时钟脉冲产生电路的仿真图 图3 管脚2、3的输出波形

3.2计数器电路的设计与仿真 本文的彩灯电路选用74LS160N-集成10进制同步加法计数器。74LS160N具有异步清零和同步置数的功能。为了实现8盏灯循环闪烁,电路采用异步反馈清零法获得8进制计数器。如图4所示,当Q D Q C Q B Q A输出1000时,U4A输出一个低电平到CLR,将计数器清零,回到0000状态。 图4 8进制计数器仿真图 确认电路连接无误后,单击RUN,开始仿真。结果如图4,通过7段数码管看到有0-7共8个有效状态。图5即计数过程。

燕山大学SolidWorks课程设计

课程设计说明书 学生姓名:赵志远杨新宇吕林猛赵帅任江周 王晓峰翟文朔孙亚光 专业班级:轧钢二班 指导教师:黄华贵、许石民、于凤琴、陈雷 得分: 答辩时间:2014.12.12

燕山大学课程设计(论文)任务书院(系):机械工程学院基层教学单位:冶金机械系

目录 第一章矫直机下矫直辊系装配及原理 (4) 1.1 矫直机下矫直辊系结构组成 (4) 第二章零件设计过程 (4) 2.1 辊系零件创建 (4) 2.2部分零件图 (5) 第三章矫直机下矫直辊系装配 (6) 3.1 辊系装配 (6) 3.2装配图 (6) 第四章矫直机下矫直辊系工程图创建 (7) 4.1创建过程 (7) 4.2工程图 (7) 第五章设计心得体会 (8) 参考文献 (9)

第一章矫直机下矫直辊系装配 1.1 矫直机下矫直辊系结构组成 电机、键、联轴器、挡圈、轴向调整蜗杆、定距环、套、唇形密封圈、轴向调整透盖、螺栓、螺母、垫圈、接近开关信号板、接近开关托架、螺柱、薄螺母、固定螺母、辊片固定螺母、固定环、销、固定垫圈、辊片套、螺钉、矫直辊、衬套、透盖、杯形套、外定距环、内定距环、轴承、操作侧止动垫片、操作侧锁紧螺母下矫直辊轴、定距套、杯形套、轴承、锁紧螺母、止动垫片、螺钉、下套筒、蜗轮箱箱体、蜗轮箱上盖、轴向调整蜗轮、轴向调整环、油封 第二章零件设计过程 2.1 辊系零件创建 利用SolidWorks画出各零件图。 创建过程: 1、画出草图; 2、对草图进行拉伸、旋转; 3、对多余部分切除、钻孔; 4、进一步加工; 5、保存。

2.2 部分零件图下套筒 涡轮箱上盖 下矫直滚轴

广东工业大学eda课程设计报告

课程设计报告 课程名称 EDA课程设计 学院信息工程学院年级班别 学号 学生姓名 指导老师罗思杰 2017年12月09日

目录 一、设计目的和要求: (3) 二、EDA设计: (3) 三、硬件测试: (15) 四、设计和调试过程中遇到的问题及解决方法.. 15 五、完成课程设计后的收获或体会: (15) 六、设计参考文献: (15)

一、设计目的和要求: 1、设计目的: 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、撰写技术报告和编制技术资料的能力,接受一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程经验。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求: (1)以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2)熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3)能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4)学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 二、EDA设计: (1)方案比较: 1、数字电子钟设计 设计一个时钟电路,包括时钟、分钟、秒钟的显示。要求可对时钟、分钟进行预置和修改操作;可设置3组闹铃时间,时间到时给出10秒的报警声或音乐并给出灯光提示。 具体输入/输出要求如下: ① 4位LED数码显示器,分别显示“小时:分钟”或“分钟:秒”时钟;根据需要选择几个LED发光二极管。 ②3个按键,具体功能描述如下:

EDA技术课程设计报告

贵州大学 EDA技术课程设计报告 题目:BCD码加法器 院系计算机科学与技术学院 专业计科121 学号1208060061、1208060058、1208060065 学生姓名张飞宇、王红强、匡金军 指导教师夏玉勤

设计BCD码加法器 一、设计任务及要求 (1)课程设计意义: 对BCD码加法有了进一步了解; 学习了quartus II设计软件,初步了解了FPGA; (2)设计要求: 利用Verilog HDL语言,编写一个4位BCD码加法器程序,输入用八个开关分别表示两个BCD码,输出结果用数码管显示。 主要芯片:2个全加器(4008BD)、开关、数码管(2个),其他元件、门电路任选 (3)主要功能: 输入两个4位BCD码,相加结果显示在数码管上。 我组在要求的功能上添加了一些代码,使输入结果也能在数码管上显示。 (4)设计思路: 图1 简易结构图 如图1所示:A,B分别是2个输入数字,S0-S1为输出,经过BCD 加法器的运算,能实现BCD码加法功能。 (1)T=A+B,若T>10,则Z赋值为10,同时进位(2)C=1,和值低位(3)S0=T-Z,和值高位(4)S1=C。 二、基于Verilog语言的电路设计、仿真、综合 硬件及软件电路设计及描述

图2 顶层模块图 图3 RTL (1)数据的产生与输入 通过J1~J8八个单刀双掷开关在+5V和GND之间的切换来产生两个4位8421BCD码作为输入的数据,当开关打到+5V时输入数据1,打到GND时输入数据0。其中J1~J4分别为数据A3~A0,J5~J8分别为数据B3~B0,且A3~A0、B3~B0 的位权依次降低(8421)。 (2)加法电路 把上面得到的两个四位8421BCD码分别输入4008BD全加器的输入端A3~A0、B3~B0,同时CIN输入端接低电平。则S3~S0输出计算结果,COUT为

燕山大学软件工程课程设计报告

燕山大学 软件工程课程设计说明书题目:网上书店 学院(系):信息科学与工程学院年级专业:10级计算机应用三班学号:1001404010097 学生姓名:方小雨 学号:100104010098 学生姓名:王嘉恺 指导教师:邓成玉 教师职称:教授

燕山大学课程设计(论文)任务书 院(系):基层教学单位: 2013年6 月 21 日

燕山大学课程设计评审 2013 年 6 月21 日

第一章绪论 (5) 1.1课题背景 (5) 1.2课题目的 (5) 1.3任务与具体要求 (6) 1.4开发环境 (7) 1.5小组内部分工 (7) 第二章可行性分析 (7) 2.1经济可行性 (7) 2.2技术可行性 (8) 2.3操作可行性 (9) 2.4法律可行性 (9) 第三章需求分析 (10) 3.1系统需求分析 (10) 3.1.1用户(前台)功能 (10) 3.1.2管理员(后台)功能 (10) 3.2数据流程分析 (11) 3.3业务流程分析 (11) 3.4用例图分析 (12) 3.4.1管理者用例 (12) 3.4.2客户用例图 (14) 3.5数据字典 (16) 第四章概要设计 (17) 4.1模块设计 (17) 4.1.1前台功能分模块设计 (17) 4.1.2后台功能分模块设计 (18) 4.2系统功能结构设计 (20) 4.2.1系统结构设计的原则 (21) 4.2.2系统安全性设计 (21) 4.2.3系统的保密性设计 (21) 4.3数据库设计 (22) 4.3.1 数据库概念结构设计 (22) 4.3.2 数据库逻辑结构设计 (25) 4.3.3 数据库物理结构设计 (26) 第五章详细设计 (30) 5.1前台功能分模块详细设计 (30) 5.2后台功能分模块详细设计 (31) 第六章心得体会 (32) 第七章参考资料 (33)

eda拔河游戏机课程设计报告

报告书写要求 1、报告的撰写要求条理清晰、语言准确、表述简明。报告中段首空两个字符,中文字体为 宋体五号,数字、字符、字母为Times New Roman五号,且单倍行距。 2、报告中插图应与文字紧密配合,文图相符,技术内容正确。每个图都应配有图题(由图 号和图名组成)。图题(宋体小五号)置于图下居中,其中图号按顺序编排,图名在图号之后空一格排写。图中若有分图时,分图号用(a)、(b)等置于分图之下。注:框图、流程图(矢量图)用专业画图软件。 3、报告中插表应与文字紧密配合,文表相符,技术内容正确。表格不加左、右边线,上、 下线需加粗(1.5磅),每个表应配有表题(由表号和表名组成)。表题(宋体小五号)置于表上居中,其中表号按顺序编排,表名在表号之后空一格排写。 4、报告中公式原则上居中书写。注:公式编辑器编写。 5、设计报告应按如下内容和顺序A4纸打印、左侧装订成册。

一、设计目的 1.掌握数字系统的设计方法; 2.掌握硬件描述语言——Verilog HDL; 3.掌握模块化设计方法; 4.掌握开发软件的使用方法。 二、设计要求 (1)设计拔河游戏电路,用按键与LED表示输入与输出。 (2)初始时,16个LED中间的两个点亮,然后游戏双方不停按动按键,点亮的两个LED 向按动按键慢的一方移动; (3)每按动一下按键,LED向对方移动一格; (4)只要LED移动到头,游戏结束; (5)由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,输入信号无效。 用数码管显示获胜者的盘数,每次比赛结束自动给获胜方加分。 (6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。 (7)三、设计环境 计算机、QuatusII开发软件 四、设计内容(设计原理和方案、程序设计、仿真分析和适配) 4.1设计原理和方案 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排16个LED发光二极管表示拔河的“电子绳”。由甲乙双方通过按钮开关使发光的LED管向自己一方的终点延伸,当延伸到某方的最后一LED管时,则该方失败,对方获胜,并对获胜次数进行计数,连续比赛多局以定胜负。比赛开始,由裁判下达比赛命令后,甲乙双方才能输入信号,否则,输入信号无效。裁判信号由键盘空格键来控制。“电子绳”由16个LED管构成,裁判下达“开始比赛”的命令后,摁一下空格键,位于“电子绳”中点的LED发亮。甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸,谁摁得快就向这一方移动。当从中点至自己一方的最后一个LED管发亮时,表示比赛结束,这时,电路自锁,保持当前状态不变,除非由裁判使电路复位,并对获胜的一方计数器自动加一。记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加分。当比赛结束时,计分器清零,为下一次比赛做好准备。

燕山大学软件工程课程设计报告完整版

燕山大学软件工程课程 设计报告 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

燕山大学 软件工程课程设计说明书 题目:网上书店 学院(系):信息科学与工程学院年级专业:10级计算机应用三班学号: 学生姓名:方小雨 学号: 学生姓名:王嘉恺 指导教师:邓成玉 教师职称:教授 燕山大学课程设计(论文)任务书

2013年6 月21 日 燕山大学课程设计评审

第一章绪论 课题背景 在网络信息日益发展的今天,网络已经成为人们日常生活的一部分。网上购物也成为现代社会的趋势和潮流。而随着互联网的飞速发展以及我国经济的稳步平衡增长,人们对于精神文明也愈加看重,对于知识的追求也却来越高。因而图书市场开始繁荣起来。但由于各种各样的原因使得消费者在选购图书时不能迅速找到合意的书籍。近年来网上书店也在网络经济的刺激下开始发力,各类资本纷纷注入这一充满潜力的新型市场。由于网上书店图书种类包罗万象,且价格比传统书店便宜不少,以至于越来越多的消费者青睐于网上购书。1999年,国内真正意义上第一家网上书店——当当网上线。北京大学社会学专业毕业、从国家机关辞职下海的李国庆,拿着第一笔风险投资的基金开始了自己的网店生涯。8年后,这家号称全球最大中文网上书店的企业营业额已经达到8个亿。此后,伴随着世界电子商务浪潮的到来,越来越多的网上书店在国内兴起。 课题目的 消费者都想在最短的时间内购买到自己所需的图书。但现有大型电商平台音像书籍分类下书目繁多,给人们在繁忙的工作生活中购书带来了很大的麻烦,于是如何方便快捷的购买到自己所需的图书就成了人们较为关心的问题。 本小组成员经过网上查询资料和实地调查发现,燕山大学附近只有3家传统书店且规模较小。稍微上点规模的城市之光也因为盗版原因存在着质量问题,价格也比京东等大型电商高出两成左右。而大型网上书店虽然品种杂多,但缺少高等院校适用的教材,在快递方面最快也要一天才可到达学校。综上所述本小组成员开发的网上书店系统目标人群专门针对在秦皇岛的高校在校生。专门定位于大学生教材教辅、考研考证资料和畅销图书等实用书

EDA课程设计报告

EDA课程设计报告学校:大学 课程题目:密码锁的设计 学院:信息科学技术学院 专业及班级:通信工程(3)班 :江虹 学号:20101613310068 其他组员:羊精月、林芳梅 指导老师:文进

目录 一、设计思 路…………………………………………………………………… 2 二、硬件电路的实 现 (2) (一)、独立键盘输入电路 (2) (二)、控制输入电路 (5) (三)、移位电路 (6) (四)、比较电路 (8) (五)、存储器模块 (12) (六)、译码模块 (14) (七)、密码锁的总体电路 (16) 三、密码锁的功能及分析 (17) 四、方案的优点及不足 (20) 五、心得体会 (21)

六、总结 (21) 七、参考文献 (22) 一、设计思路 1、设计一个电子密码锁,在锁开的状态下输入密码,密码共4位 2、设计一个初始密码 3、用数据开关K1 K10分别代表数字1、2、…、9、0 4、输入的密码用数码管显示,最后输入的密码显示在最右边的数码 管上,即每输入一位数,密码在数码管上的显示右移一位。 可删除输入的数字,删除的是最后输入的数字,每删除一位, 密码在数码管的显示左移一位,并在右边空出的位上补充 “0”。 5、密码锁的控制功能有清零、修改、锁定、解锁,删除,确认。 6、因为密码一般不想被人看到,所以在显示时在按键按下灯亮时显 示正确字符,在按键按下灯灭时显示特殊字符,由于数码管

显示译码时没有“*”,所以特殊字符选择“E”。 7、用一位输出电平的状态代表锁的开闭状态。 8、为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘 记密码时使用。 二、硬件电路的实现 (一)、独立键盘输入电路 由于EDA实验箱有独立式键盘输入和矩阵式键盘输入电路,本实验就选择独立式键盘输入,本实验的密码是4位,实现在按键按下去灯亮时有一位数据输入,在按键按下去灯灭时没有有数据输入。 1、实现键盘输入的VHDL设计 USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY JPSR IS PORT ( KEY_IN1:IN STD_LOGIC_VECTOR(9 DOWNTO 0); DATA_N: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END JPSR; ARCHITECTURE behav OF JPSR IS BEGIN P_REG: PROCESS(KEY_IN1) BEGIN CASE KEY_IN1 IS WHEN "0000000001"=>DATA_N<="0000"; WHEN "0000000010"=>DATA_N<="0001"; WHEN "0000000100"=>DATA_N<="0010"; WHEN "0000001000"=>DATA_N<="0011"; WHEN "0000010000"=>DATA_N<="0100"; WHEN "0000100000"=>DATA_N<="0101"; WHEN "0001000000"=>DATA_N<="0110"; WHEN "0010000000"=>DATA_N<="0111"; WHEN "010*******"=>DATA_N<="1000"; WHEN "1000000000"=>DATA_N<="1001"; WHEN OTHERS=>DATA_N<="1010"; END CASE; END PROCESS P_REG ; END behav; 2、异或电路的VHDL设计

相关文档
最新文档