如何使用NI Multisim和LabVIEW来设计和仿真有刷直流电机H-桥电路NI-Tutorial-13721-zhs

如何使用NI Multisim和LabVIEW来设计和仿真有刷直流电机H-桥电路NI-Tutorial-13721-zhs
如何使用NI Multisim和LabVIEW来设计和仿真有刷直流电机H-桥电路NI-Tutorial-13721-zhs

图1. Multisim 和 LabVIEW 联合仿真

在这个范例设计中, 开发了一个有刷直流 电机H-桥电路连同 脉宽调制(PWM) 闭环控制逻辑的完整 的系统仿真方案。对 直流电机进行了建模 并在 Multisim中 和H-桥晶体管及门 极驱动一起进行了仿 LabVIEW和 Multisim联 合仿

H-桥拓扑结构

PID控制基础

直流电机闭环控制

PWM 基础

: 文件类型技术指南

设计的第一步是在 Multisim中 开发模拟电路。电路 中包括了 Multisim 12.0提供的新的 电力电子元件模型。

新的电力金属氧化物 半导体,场效应晶体 管(MOSFET) 模型,可以改变器件 的参数选项

新的直流永磁机模型

新的增量编码器和 rad/s及rpm 转换器模型

Multisim模 拟电路包括三个不同 电路图:

第一个电路使用了 IR公司 (International Rectifier) 的MOSFET (IRF953和 IRF371)

第二个电路又添加了 两个额外的门极驱动 器(IR2101) 的SPICE模型来 保证MOSFET开 关有可靠的偏置。

第三个电路基于通用 的MOSFET模型

图2. 使用(IR)公司 MOSFET模型的 模拟电路图

图3. 使用IR公司 MOSFET模型和 门极驱动器的模拟电 路图

使用 Multisim仿 真,你可以在设计流 程的靠前阶段验证电 气部分。SPICE 模型是由半导体生产 商提供了基于真实器 件性能的准确模型。 使用这些模型,你可 以在制造原型机之间

就决定系统预期达到 的效果。

在仿真中使用IR公 司的MOSFET模 型可以在桌面仿真阶 段就验证电路的真实 运行情况。观察结果 可以发现,由于在第 二个电路中添加了门 极驱动器,引入了几 个纳秒的延迟,当

然,这是可以忽略 的。

如果你使用的 MOSFET没有生 产厂商提供的模型, 增强的 Multisim数 据库提供了通用的 MOSFET模型, 你可以根据器件规格 自定义MOSFET 的各个参数。图4中 的第三个电路图展示 了基于通用MOSFET模型的 电路图。

图 4. 使用通用 MOSFET模型的 模拟电路图HB/SC接线端定 义为LabVIEW 联合仿真的输入与输 出端口。表1是从 Multisim设 计中导出的电子表 格:

负接口模式类型

0Input Voltage

0Input Voltage

0Input Voltage

0Input Voltage

0Output Voltage

0Output Voltage

0Output Voltage

0Output Voltage

0Output Voltage

图 5. 系统框图

LabVIEW FPGA IP核

LabVIEW FPGA模块非常适 合开发天生并行运行 的FPGA硬件。另 外,它还可以有效地 对低级FPGA代码 进行仿真,与直接编 译FPGA数字相比 可以节省很多时间。

在传统的控制逻辑设 计中,工程师开发出 与模拟电路分享的嵌 入式的代码,但是逐 渐地他们需要在系统 级进行交互,这个通 常很难实现同时的仿 真。这种仿真能力的 缺乏有可能导致开发

出来的嵌入式逻辑并 不能很好地支持模拟 电路(例如,功率电 路系统),造成系统 效率低于预期/设计 指标。这将迫使开发 者对算法进行调整并 重编译。

每一次代码的修改都 会在编译和部署阶段 造成时间的损失(一 次简单的重编译就可 能花费你四小时的时 间)。准确的结合模 拟电路的联合仿真 (可以由 Multisim和 LabVIEW提 供)可以让你在制造原型或编译之前就了 解系统整体的性能, 所以可以减少原型化 的迭代次数,节省开 发时间和开发成本。

本设计中使用数字控 制包括了四个 LabVIEW FPGA IP核, 如下图所示。

比例积分IP

在这段代码中,会根 据用户提供的输入参 数(K 和 K)按照比例积分控制 算法计算输出 值。

p I

图 6. 比例积分模块框图和 界面

正交编码器IP

在下面的代码中,解 码后表示了电机转速 (A,B和I)的信 号会被重新编码来还 原真实的速度值,然 后提供反馈给PI模 块,以此决定电机的 转速是过快还是过 慢。 .

了解更多关于正交编 码器的信息

图 7. 正交编码器模块程序 框图和界面

PWM发生器IP

该代码模块接收用户 提供的时间输入和 PI控制模块提供的 反馈输入。

图 8. PWM控制模块程序 框图和界面H-桥控制器IP

该IP模块将PWM 输出转换为4路 MOSFET的开关 信号,然后决定哪一 个对角元素是打开还 是关闭。它同时还接 收用户对 MOSFET死区时 间的设置输入。

图 9. H-桥控制模块程序 框图和界面

完整系统架构

所有这些模块都放置 在一个控制与仿真循 环中,使用了预先设 置的固定步长。系统 可以以40MHz (250ns每步 长)的频率运行。另 外还开发了图形化用 户界面来监控系统信 号(如电机转速度和电流)。

图 10. 本完整系统架构框图 包括了不同的 FPGA模块和 Multisim电 路设计

完成了模拟功率建模 和数字控制器设计之 后,使用联合仿真工 具对完整的系统进行 分析和优化。在联合 仿真环境下, Multisim和 LabVIEW同时 执行非线性的时域分 析,每一个时间步长

结束时两者交互数 据。另外,当 LabVIEW被配 置为使用可变步长解 算器之后, Multisim和 LabVIEW就可 以对未来的仿真步数 进行协调,这样就形 成了一个高度集成并 且精确的仿真。结果

就是两个工具都可以 加强运算的精度,即 使在两个解算器之间 有耦合的养分方程的 情况下,也可以保证 仿真结果准确可靠。

通过系统分析,可以 进一步观察到有刷直 流电机驱动系统的运 行性能。嵌入式 FPGA控制代码和 模拟对象模型中各个 变量都可以在联合仿 真的过程中观察到。 能够观察任何信号 (例如,MOSFET/电机 中的电流/电压信 号,控制代码的死区 特征等)的功能让我 们可以对系统的连通 性有一个可靠的验 证,也能更深入地了 解系统的行为。1

图 11. 在这个1秒的系统仿 真中,电机转速从0 上升并稳定于 1200rpm,显 示的信号包括设定转 速(红色), Multisim中 传感器读取的转速 (黄色)以及仿真过 程中电机的真实转速 (白色)。

图 12. 仿真系统的 LabVIEW界面 显示了1秒的系统仿 真时间,电机转速从 0上升并稳定于 1200rpm过程 中从 Multisim读 取的电机电流信号 (绿色)和 LabVIEW给出 的FPGA控制信号 (蓝色)

结论

你可以联合 Multsim和 LabVIEW的仿 真功能来为带有直流 有刷电机和相关电力 电子器件的机电一体 化系统创建完整的桌 面仿真,针对H-桥 进行模拟电路仿真, 使用LabVIEW 实现数字控制模块仿真。点对点的仿真可 以在系统设计的前期 阶段对系统进行验 证,帮助你决定最合 适的元件,PI控制 参数,H-桥驱动模 式和系统监控方案。

法律条款

本教程由 National Instruments 公司(简称 “NI”)开发。 尽管 National Instruments 可为该程序提供技术 支持,但是该指南的 内容并非完全通过测 试和验证,NI不以 任何方式保证其质

量,也不保证相关产 品或驱动程序的新版 本出现时继续为其提 供技术支持。本教程 仅以其“现状”向用 户提供,教程没有任 何担保。教程使用受 https://www.360docs.net/doc/775645840.html,网站上 《使用条款》的约 束。 (http: //ni.

)

com/legal/termsofuse/unitedstates/us/

正弦波振荡器设计multisim(DOC)

摘要 自激式振荡器是在无需外加激励信号的情况下,能将直流电能转换成具有一定波形、一定频率和一定幅值的交变能量电路。正弦波振荡器的作用是产生频率稳定、幅度不变的正弦波输出。基于频率稳定、反馈系数、输出波形、起振等因素的综合考虑,本次课程设计采用电容三点式振荡器,运用multisim软件进行仿真。根据静态工作点计算出回路的电容电感取值,得出输出频率与输出幅度有效值以达到任务书的要求。 关键词:电容三点式;振荡器;multisim;

目录 1、绪论 (1) 2、方案的确定 (2) 3、工作原理、硬件电路的设计和参数的计算 (3) 3.1 反馈振荡器的原理和分析 (3) 3.2. 电容三点式振荡单元 (4) 3.3 电路连接及其参数计算 (5) 4、总体电路设计和仿真分析 (6) 4.1组建仿真电路 (6) 4.2仿真的振荡频率和幅度 (7) 4.3误差分析 (8) 5、心得体会 (9) 参考文献 (10) 附录 (10) 附录Ⅰ元器件清单 (10) 附录Ⅱ电路总图 (11)

1、绪论 振荡器是不需外信号激励、自身将直流电能转换为交流电能的装置。凡是可以完成这一目的的装置都可以作为振荡器。一个振荡器必须包括三部分:放大器、正反馈电路和选频网络。放大器能对振荡器输入端所加的输入信号予以放大使输出信号保持恒定的数值。正反馈电路保证向振荡器输入端提供的反馈信号是相位相同的,只有这样才能使振荡维持 下去。选频网络则只允许某个特定频率0f能通过,使振荡器产生单一频率的输出。 振荡器能不能振荡起来并维持稳定的输出是由以下两个条件决定的;一个是反馈电压 U和输入电压i U要相等,这是振幅平衡条件。二是f U和i U必须相位相同,这是相位f 平衡条件,也就是说必须保证是正反馈。一般情况下,振幅平衡条件往往容易做到,所以在判断一个振荡电路能否振荡,主要是看它的相位平衡条件是否成立。 本次课程设计我设计的是电容反馈三点式振荡器,电容三点式振荡器,也叫考毕兹振荡器,是自激振荡器的一种,这种电路的优点是输出波形好。电容三点式振荡器是由串联电容与电感回路及正反馈放大器组成。因振荡回路两串联电容的三个端点与振荡管三个管脚分别相接而得名。 本课题旨在根据已有的知识及搜集资料设计一个正弦波振荡器,要求根据给定参数设计电路,并利用multisim仿真软件进行仿真验证,达到任务书的指标要求,最后撰写课设报告。报告内容按照课设报告文档模版的要求进行,主要包括有关理论知识介绍,电路设计过程,仿真及结果分析等。 主要技术指标:输出频率9 MHz,输出幅度(有效值)≥5V。

Multisim课程设计正弦波发生器

东北石油大学MULTISIM电气应用训练 2012年3 月01日

MULTISIM电气应用训练任务书 课程MULTISIM电气应用训练 题目Multisim的正弦波振荡电路仿真 专业自动化姓名刘月莹学号0906******** 主要内容: 以文氏电桥正弦波振荡电路仿真为例,分析了基本及稳幅文氏电桥正弦波发生器的特点,并采用Multisim 10软件对文氏电桥正弦波发生器进行了仿真,仿真结果与理论分析结果一致。软件仿真在课堂教学、电路设计、及实验教学中的应用,使得课堂教学信息量饱满,设计、实验变得轻松,使教学的效果得到提升,在教学领域具有重要的推广、应用价值。 主要参考资料: [1] 黄智伟.全国大学生电子设计竞赛电路设计[J].北京:北京航空航天大学出版社,2006. [2] 康华光.电子技术基础[J].北京:高等教育出版社,2001. [3] 张凤言.电子电路基础[M].北京:高等教育出版社,1995. [4] 杨素行.模拟电子技术基础简明教程[M].北京:高等教育出版社,2002. [5] 岳怡.数字电路与数字电子技术[J].西安工业大学出版社,2004. [6] 路勇.电子电路实验及仿真[M].清华大学出版社,2004. [7] 张俊漠.单片机中级教程——原理与应用[M].北京航天航空大学出版社,2006. 完成期限2012.2.20——2012.3.1 指导教师李宏玉刘超 专业负责人 2012年3 月1 日

目录 1 任务和要求 (1) 2 稳幅文氏电桥正弦波发生器 (5) 3文氏电桥正弦波发生器电路仿真 (5) 4设计总结 (6) 参考文献 (6)

Multisim课程设计报告

Multisim课程设计报告 课程名称:multisim电路仿真设计题目:病房呼叫系统设计 学号:王后影110914033 专业班级:11电信本(一)班

指导老师:宇安 病房呼叫系统的设计 一.实验目的 1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。 4.培养认真严谨的工作作风和实事的工作态度。 5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力 二.实验原理 要求当一号病房的按钮按下时,无论其他病室的按钮是否按下,护士值班室的数码显示“1”,即“1”号病室的优先级别最高,其他病室的级别依次递减,7号病室级别最低,当7个病房中有若干个请求呼叫开关合上时,护士值班室的数码管所显示的即为当前优先级别最高的病室呼叫,同时在有呼叫的病房门口的指示灯闪烁。待护士按优先级处理完后,将该病房的呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。全部处理完毕后,即没有病室呼叫,此时值班室的数码管显示“0”。

电路设计流程图 本例在设计中采用了8/3线优先编码器74LS148,74LS148有8个数据端(0~7),3个数据输出端(A0~A1),1个使能输入端(EI,低电平有效),两个输出端(GS,E0)。数据输出端A~C根据输入端的选通变化,分别输出000~111这0~7二进制码,经逻辑组合电路与74LS47D BCD-七段译码器/驱动器的数据输入端(A~C)相连,最终实现设计要求的电路功能,电路如图所示。电路中与门74LS08DD的输出端(3、6、8)与74LS147D BCD-七段译码器/驱动器的数据输入端的数据端(A、B、C)连接。 此例仿真可在Multisim的主界面下,启动仿真开关即可进行电路的仿真。K1~K7为病房呼叫开关,在其下方的Key=1,...Key=7分别表示按下键盘1~7数字键,即可控制相应开关的通道。L1~L7为模拟病房门口的呼叫指示灯,当呼叫开关K1~K7任何开关被按下时,相应开关上的指示灯即闪烁发光,同时护士值班室的数码管即显示相对最高优先级别的病房号,而且蜂鸣器SP会令计算机上的扬声器发声。

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

实验1:电路仿真工具multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列):

电源组信号源基本器件组 (1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

Multisim电路仿真应用

Multisim电路仿真及应用 仿真实训一:彩灯循环控制器的设计与仿真分析变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢?这就是我们下面要讨论的课题—彩灯循环控制电路。 电路设计分析彩灯循环控制技术指标: 1.彩灯能够自动循环点亮。 2.彩灯循环显示且频率快慢可调。 3.该控制电路具有8路以上输出。 仿真实训二:交通信号灯控制系统的设计与仿真分析十字路口的交通信号灯是我们每天出行时都会遇到的,信号灯指挥着行人和各种车辆安全有序的通行。实现红、绿灯的自动控制是城市交通管理现代化的重要课题,合适的信号灯指挥系统可以提高城市交通的效率。下面我们以该课题为例进行设计与仿真分

析。 电路设计分析交通信号灯控制系统的技术指标: 1.主、支干道交替通行,主干道每次放行30s,支干道每次放行20s。 2.绿灯亮表示可以通行,红灯亮表示禁止通行。 3.每次绿灯变红灯时,黄灯先亮5s(此时另一干道上的红灯不变)。 4.十字路口要有数字显示,作为等候时间提示。要求主、支干道通行时间及黄灯亮的时间均以秒为单位作减计数。 5.在黄灯亮时,原红灯按1HZ的频率闪烁。 6.要求主、支干道通行时间及黄灯亮的时间均可在0-99s任意设定。 仿真实训三:篮球比赛24秒倒计时器的设计与仿真分析电路设计分析: 计时器在许多领域均有普遍的应用,篮球比赛中除了有总时间倒计时外,为了加快比赛节奏,新的规则还要求进攻方在24秒有一次投篮动作,否则视为违规。 本设计题目“篮球比赛24秒倒计时器”从数字电路角度讨论,实际上就是一个二十四进制递减的计数器。 电路设计技术指标: 1.能完成24秒倒计时功能。 2.完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;

数字时钟的Multisim设计与仿真

电子电路 设计和仿真 Multisim 学院: 专业和班级: 姓名:学号: 数字时钟的Multisim 设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现和调试 1.设计一个24或12小时制的数字时钟。 2.要求:计时、显示精确到秒;有校时功能。采用中小规模集成电路设计。 3.发挥:增加闹钟功能。 二、总体设计和电路框图 1.设计思路 1).由秒时钟信号发生器、计时电路和校时电路构成电路。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。2.电路框图

二、子模块具体设计 1.由555定时器构成的1Hz 秒时钟信号发生器。 由下面的电路图产生1Hz 的脉冲信号作为总电路的初输入时钟脉冲 图2.时钟信号发生电路 2. 分、秒计时电路及显示部分 -VC K ? OTT - ? THR ? T£L1 - O0&I H L : ? r GND ,,, 48kQ R2 48kQ —10uF 士伯 DtiF ....... ■ ■ j - ■ ■ >100Q

在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D 的反馈置数法来实现十进制功能和六进制功能,根据74LS160D的 结构把输出端的0110 (十进制为6)用一个与非门74LS00引到CLR端便可置0,这样就实现了六进制计数。 由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法显示部分用的是七段数码管和两片译码器74LS48D 。 图3.分秒计时电路 3.时计时电路及显示部分 由两片十进制同步加法计数器74LS160级联产生,采用的是同步置数法, u1输出端为0011 (十进制为3)与u2输出端0010 (十进制为2)经过与非门接两片的置数端。 显示部分用的是七段数码管和两片译码器74LS48D 。

实验八multisim电路仿真

电子线路设计软件课程设计报告 实验内容:实验八multisim电路仿真 一、验目的 1、进一步熟悉multisim的操作和使用方法 2、掌握multisim做电路仿真的方法 3、能对multisim仿真出的结果做分析 二、仿真分析方法介绍 Multisim10为仿真电路提供了两种分析方法,即利用虚拟仪表观测电路的某项参数和利用Multisim10 提供的十几种分析工具,进行分析。常用的分析工具有:直流工作点分析、交流分析、瞬态分析、傅立叶分析、失真分析、噪声分析和直流扫描分析。利用这些分析工具,可以了解电路的基本状况、测量和分析电路的各种响应,且比用实际仪器测量的分析精度高、测量范围宽。下面将详细介绍常用基本分析方法的作用、分析过程的建立、分析对话框的使用以及测试结果的分析等内容 1、直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图所示。直流工作点分析对话框包括3页。

Output 页用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。Analysis Options页 点击Analysis Options按钮进入Analysis Options页,其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的 Summary页

电路仿真软件Multisim_11.0安装使用教程及破解

Multisim 11.0 软件免费下载汉化激活全套 Multisim 11.0目前为最新版本。嵌入式系统 安装需要需要资料:17Embed,17嵌入式 1.Multisim11.0软件,免费下载地址: https://www.360docs.net/doc/775645840.html,/c07n2rh7tb m 2. Multisim11.0汉化包+激活包免费下载地址: https://www.360docs.net/doc/775645840.html,/c0frrgfutf Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程 一起嵌入式开发

3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项 4、然后选择“Install this product for evaluation”,试用的意思

5、接下来就按照提示一路狂Next就行,然后重启就行了嵌入式系统 这样安装就算完成了,接下来就是汉化和破解了。

嵌入式系统 二、汉化 1、将ZH文件夹放到目录“...\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”下。 记住,不是目录“X:\National Instruments Downloads”,这个文件是你安装时第二步解压缩后的文件,安装完后就可以删掉了。(好多朋友在这里犯错误)17Embed,17嵌入式2、再运行Multisim11,菜单里边的:Options\Gobal Preferences\convention\language\ZH (参考图片)

模电multisim仿真设计

模拟电子技术基础课程设计说明书题目: Multisim仿真应用 学生:明 学号:1 院(系):理学院 专业:应用物理学 指导教师:冠强

2014 年 6 月 10日

目录 第0节背景 (1) 第1节Multisim应用举例——二极管的特性的研究 (1) 第2节 Multisim应用举例——Rb变化对Q点和电压放大倍数的影响 (2) 第3节 Multisim应用举例——直接耦合多级放大电路的调试 (4) 第4节 Multisim应用举例——消除互补输出级交越失真方法的研究 (6) 第5节 Multisim应用举例——静态工作点稳定电路频率影响的研究 (8) 第6节 Multisim应用举例——交流负反馈对放大倍数稳定性的影响 (10) 设计体会及今后改进意见 (12) 参考文献 (12)

第0节背景 Multisim是一个完整的设计工具系统,提供了一个非常大的元件数据库,并提供原理图输入接口、全部的数模Spice仿真功能、VHDL设计接口与仿真功能、 FPGA/CPLD综合、RF设计能力和后处理功能还可以进行从原理图到PCB布线工具包(如:Ultiboard)的无缝隙数据传输。 随着计算机的飞速发展,以计算机辅助设计为基础的电子设计自动化技术(EDA)已经成为电子学领 域的重要学科。EDA工具使电子电路和电子系统的设计产生了革命性的变化,它摒弃了靠硬件调试 来大道设计目标的繁琐过程,实现了硬件设计软件化。 Multisim具有齐全的元器件模型参数库和比较齐全的仪器仪表库,可模拟实验室的操作进行 各种实验。学习Multisim可以提高仿真能力、综合能力和设计能力,还可进一步提高实践能力。 第1节Multisim应用举例——二极管的特性的研究 1.1 题目 研究二极管对直流量和交流量表现的不同特点。 1.2 仿真电路 仿真电路如图1-1所示。因为只有在低频小信号下二极管才能等效成一个电阻所以图流信号的频率为1kHz、数值为10mV(有效值)。由于交流信号很小,输出电压不失真故可以认为直流电压表(测平均值)的读书是电阻上直流电压值。

基于Multisim的音频功率放大器设计与仿真

信息工程学院 课程设计报告书 题目: 基于Multisimde 音频功率放大器设计与仿真 课程:电子线路课程设计 专业: 班级: 学号: 学生姓名: 指导教师: 2015 年 1 月 3 日

信息工程学院课程设计任务书 学号学生姓名专业(班级) 设计题 目 基于Multisimde 音频功率放大器设计与仿真 设计技术参数电源电压:Vs (22) 输入电压:VIN ........................±V 电源V 差分输入电压:VDIFF (5) 工作温度范围:TA …………………… 0℃~70℃存贮温度:TSTG …………………… -65℃~150℃结温:Tj …………………… 150℃ 功耗(5532FE):PD …………………… 1000mW 引线温度(焊接,10S)…………………… 300℃ 设计要求1 输出功率10W/8Ω;频率响应20~20KHz;效率>60﹪;失真小。 2 选择电路方案,完成对确定方案电路的设计。 3 利用Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并 仿真实现系统功能。 4 安装调试并按规范要求格式完成课程设计报告书。 参考资料1. 谢自美.电子电路设计.实验.测试.武昌:华中理工大学出版社,1994. 2. 童诗白.模拟电子技术基础.第二版.北京:人民邮电出版社,1999. 3. 康华光主编,电子技术基础(数字部分、模拟部分),高等教育出版社,1998. 4.周泽义.电子技术实验。武汉:武汉理工大学出版社,2001.5 5.梁宗善.《新型集成电路的应用-电子技术基础课程设计》.华中科技大学,2004 6.孙梅生.《电子技术基础课程设计》.高等教育出版社,2005 7.黄继昌,张海贵.《实用单元电路及其应用》.人民邮电出版社,2006 8.王卫东,江晓安.《模拟电子电路基础》.西安电子科技大学出版社,2003 9.华成英、童诗白.模拟电子技术基础.第四版.北京:高等教育出版社,2006.5 2015 年 1 月 3 日

multisim 电路仿真 课程设计

4.1 仿真设计 1、用网孔法和节点法求解电路。 如图4.1-1所示电路: 3Ω (a)用网孔电流法计算电压u的理论值。 (b)利用multisim进行电路仿真,用虚拟仪表验证计算结果。(c)用节点电位法计算电流i的理论值。 (d)用虚拟仪表验证计算结果。 解: 电路图: (a) i1=2 解得 i1=2 5i2-31-i3=2 i2=1 i3=-3 i3=-3 u=2 v (b)如图所示: (c)列出方程 4/3 U1- U2=2 解得 U1=3 v U2=2 v 2A1Ω _ + 1Ω 2V - 3A 图4.1-1 i

2U 1- U 2=2 i=1 A 结果:计算结果与电路仿真结果一致。 结论分析:理论值与仿真软件的结果一致。 2、叠加定理和齐次定理的验证。 如图4.1-2所示电路: (a)使用叠加定理求解电压u 的理论值; (b)利用multisim 进行电路仿真,验证叠加定理。 (c)如果电路中的电压源扩大为原来的3倍,电流源扩大为原来的2倍,使用齐次定理,计算此时的电压u ; (d)利用multisim 对(c )进行电路仿真,验证齐次定理。 电路图: (a ) I 1=2 7 I 2-2 I 1- I 3=0 3 I 3- I 2-2 I 4=0 解得 U 1=7(V ) I 4=-3 U 1 U 1=2(I 1- I 2) 如图所示电压源单独作用时根据网孔法列方程得: 3 I 1-2 I 2- I 3= 4 I 2=-3 U 2 7 I 3 - I 1=0 解得 U 2=9(V ) U 2=4-2 I 3 所以 U= U 1+ U 2=16(V ) (b )如图所示。 2Ω 1Ω 2Ω 4Ω 2A 3u + 4V - + u - 图4.1-2

基于Multisim的八路智力抢答器设计

数字电路课程设计任务书

数字电路设计说明书 学院名称:计算机与信息工程学院 班级名称:通信14 学生姓名:余浩 学号: 2014211453 题目:八路智力抢答器设计 指导教师:刘晓兰 起止日期: 2015.12.21--2016.1.3

第一部分:正文部分 一选题的相关背景: 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题,如果要是让抢答者用举手等方法,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就要有一种抢答设备作为裁判员,这就必然离不开抢答器。 抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辩认出选手号码。现在大多数抢答器均使用单片机或数字集成电路,并增加了许多新功能,如选手号码显示、抢按前或抢按后的计时、选手得分显示等功能。 简易逻辑数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 通过这次课程设计,初步掌握数字电路抢答器的调整及测试方法,提高思考能力和实践能力。同时通过本课题设计,巩固已学的理论知识,建立逻辑数字电路的理论和实践的结合,了解多功能抢答器各单元电路之间的关系及相互影响,从而能正确设计、计算定时计数的各个单元电路。初步掌握多功能抢答器的调整及测试方法。 随着改革开放事业的不断深入,促使人们学科学、学技术、学知识的手段多种多样,抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率校低,且有的要么制作复杂,要么可靠性低,减少兴致。作为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展。目前多数抢答器存在3个不足之处:首先,现场线路连接复杂。因为每个选手位于抢答现场的不同位置,每个选手与控制台之间要有长长的连接线。选手越多,连接线就越多、越乱,这些连接线不仅影响了现场的美观,而且降低了抢答器的可靠性,增加了安装的难度,甚至影响了现场人员的走动。其次,电路复杂。因为简单逻辑电路只完成号码处理、计时、数据运算等功能,其它功能如选手号码的识别、译码、计分显示等仍只能通过数字集成电路完成。采用简单逻辑电路扫描技术识别选手抢按号码时,电路的延迟时间较大,最后导致容易出现选手抢按成功现象。

Multisim14仿真设计流程

Multisim 14仿真设计流程 用一个案例(模拟小信号放大及数字计数电路)来演示 Multisim 仿真大体流程,这个案例来自Multisim 软件自带 Samples,Multsim 也有对应的入门文档(Getting Started)。只要用户安装了Multsim 软件,就会有这样的一个工程在软件里,这样就不需要再四处搜索案例来学习。 执行菜单【File】→【Open samples…】即可弹出“打开文件”对话框,从中找到“Getting Started” 下的“Getting Started Final”(Final 为最终完成的仿真文件)打开即可。 此案例的难度与复杂度都不高,因为过于复杂的电路会让 Multisim 仿真初学者精力过于分散,难以从宏观上把握 Multisim 电路仿真设计流程。在这个案例中,我们对于 Multisim 软件的使用操作(如调用元器件、连接元器件、编辑参数、运行仿真)都会做尽量详细的描述,以期达到尽快让新手熟悉 Multisim 目的,这也是为更简要阐述后续案例打基础。 本书在行文时描述的 Multisim 步骤操作,均使用菜单方式,事实上,大多数操作可以直接使用工具栏上的快捷按钮,读者可自行熟悉,执行的结果与菜单操作都是一致的 1 电路原理 我们将要完成的仿真电路如下图所示:

2 一切不以原理为基础的仿真都是耍流氓,所以这里我们简要阐述一下原理:以 U4-741 运算放大 器为核心构成的同相比例放大器,对来自 V1 的交流信号进行放大(其中,R4 为可调电阻,可对放大 倍数进行调整)。放大后的信号,一路送入示波器进行观测,另一路作为时钟脉冲信号送入 U2-74LS190N(可预置同步 BCD 十进制加减法计数器)进行计数,计数结果输出为十进制,经 U3-74LS47N(BCD-七段数码管译码器)译码后驱动七段数码管进行数字显示。另外 U2-74LS190N 配置为 加法器,同时将行波时钟输出第 13 脚(RCO)驱动发光二极管。 左下区域有两个单刀双掷开关进行计数控制,S1 接到 U2 的第 4 脚(CTEN)计数使能控制引脚,低有效,当 S1 切换到接地(GND)时,计数才开始,否则计数停止;S2 接到 U2 的第 11 脚(LOAD),也是低有效,当 S2 切换到接地(GND)时,就把预置数(ABCD)赋给(Q A Q B Q C Q D),这里电路配置的(ABCD)都是接地(GND),因此相当于 S2 开关为清零功能。 右上区域还有三个旁路电路,左侧的插座与仿真没有关系。 新建仿真文件 1、首先我们打开 Multsim 软件,如下图所示,默认有一个名为 Design1 的空白文件已经打开在 工作台(WorkSpace)中。

最全面的Multisim14仿真设计流程指南

1 第2章 Multisim 仿真流程 本节我们用一个案例(模拟小信号放大及数字计数电路)来演示Multisim 仿真大体流程,这个案例来自Multisim 软件自带Samples ,Multsim 也有对应的入门文档(Getting Started ),只要用户安装了Multsim 软件,就会有这样的一个工程在软件里,这样就不需要再四处搜索案例来学习。 执行菜单【File 】→【Open samples…】即可弹出“打开文件”对话框,从中找到“Getting Started ”下的“Getting Started Final ”(Final 为最终完成的仿真文件)打开即可 此案例的难度与复杂度都不高,因为过于复杂的电路会让Multisim 仿真初学者精力过于分散,难以从宏观上把握Multisim 电路仿真设计流程。在这个案例中,我们对于Multisim 软件的使用操作(如调用元器件、连接元器件、编辑参数、运行仿真)都会做尽量详细的描述,以期达到尽快让新手熟悉Multisim 目的,这也是为更简要阐述后续案例打基础。 本书在行文时描述的Multisim 步骤操作,均使用菜单方式,事实上,大多数操作可以直接使用工具栏上的快捷按钮,读者可自行熟悉,执行的结果与菜单操作都是一致的 2.1 电路原理 我们将要完成的仿真电路如下图所示:

2 一切不以原理为基础的仿真都是耍流氓,所以这里我们简要阐述一下原理:以U4-741运算放大器 为核心构成的同相比例放大器,对来自V1的交流信号进行放大(其中,R4为可调电阻,可对放大倍数 进行调整)。放大后的信号,一路送入示波器进行观测,另一路作为时钟脉冲信号送入U2-74LS190N(可 预置同步BCD十进制加减法计数器)进行计数,计数结果输出为十进制,经U3-74LS47N(BCD-七段 数码管译码器)译码后驱动七段数码管进行数字显示。另外U2-74LS190N配置为加法器,同时将行波时 钟输出第13脚(RCO)驱动发光二极管。 左下区域有两个单刀双掷开关进行计数控制,S1接到U2的第4脚(CTEN)计数使能控制引脚, 低有效,当S1切换到接地(GND)时,计数才开始,否则计数停止;S2接到U2的第11脚(LOAD),也是低有效,当S2切换到接地(GND)时,就把预置数(ABCD)赋给(Q A Q B Q C Q D),这里电路配置 的(ABCD)都是接地(GND),因此相当于S2开关为清零功能。 右上区域还有三个旁路电路,左侧的插座与仿真没有关系。 2.1.1 新建仿真文件 1、首先我们打开Multsim软件,如下图所示,默认有一个名为Design1的空白文件已经打开在工作 台(WorkSpace)中。

数字时钟的Multisim设计与仿真

数字电子技术课程设计 学院:信息工程学院 班级:电气二班 姓名:刘君宇张迪王应博 学号:10 16 09

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现 ? 基础调研 ? 应用设计、逻辑设计、电路设计 ? 用Multisim 软件验证电路设计 ? 分析电路功能是否符合预期,进行必要的调试修改 ? 撰写Project 报告,提交Multisim 二、总体设计和电路框图 图1. 数字钟电路框图 三、具备功能 ?24小时计时; ?动态显示时、分、秒各位; ?快速校时、校分; ?整点报时; ?按照设定时间启动闹钟; ?为闹钟设置彩铃; ??扩展功能:显示星期; 四、整体电路原理图 整体电路共分为五大模块:脉冲产生部分、计数部分、闹钟部分、显示部分、校时部分。主要由矩形波产生器、秒计数器、分计数器、时计数器、LED七段显示数码管、时间校准电路,闹钟电路构成。

五、结论 由脉冲发生器、秒计数器、分计数器、时计数器、LED显示数码管设计了数字时钟电路,经过仿真得出较理想的结果,说明电路图及思路是正确的,可以实现所要求的基本功能:计时、显示精确到秒、时分秒校时。 下页附设计感想和分工

整点报时设计体会 刘君宇10(分工:完成电路设计,整点报时,闹钟,扩展功能) 通过对软件Multisim的学习和使用,进一步加深了对数字电路的认识。在仿真过程中遇到许多困难,但通过自己的努力和同学的帮助都一一克服了。首先,连接电路图过程中,数码管不能显示,后经图形放大后才发现是电路断路了。其次,布局的时候因元件比较多,整体布局比较困难,因子电路不如原电路直观,最后在不断努力下,终于不用子电路布好整个电路。 调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。在整个设计中,计数器的接线比较困难,反复修改了多次,在认真学习其用法后采用归零法和置数法设计出60进制和24进制的计数器。 同时,在最后仿真时,预置的频率一开始用的是1hz,结果仿真结果反应很慢,后把频率加大,这才在短时间内就能看到全部结果。总之,通过这次对数字时钟的设计与仿真,为以后的电路设计打下良好的基础,一些经验和教训,将成为宝贵的学习财富。

模拟电路Multisim软件仿真教程

第13章 Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

相关文档
最新文档