计算机组成原理一台模型计算机的设计

计算机组成原理一台模型计算机的设计
计算机组成原理一台模型计算机的设计

计算机组成原理课程设计……一台模型计算机的设计

一、教学目的、任务与实验设备

1.教学目的

(1)融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,加深计算机工作中“时间—空间”概念的理解,从而清晰地建立计算机的整机概念。

(2)学习设计和调试计算机的基本步骤和方法,提高使用软件仿真工具和集成电路的基本技能。

(3)培养科学研究的独立工作能力,取得工程设计与组装调试的实践和经验。

2.设计与调试任务

(1)按给定的数据格式和指令系统,在所提供的器件范围内,设计一台微程序控制的模型计算机。

(2)根据设计图纸,在MAX+PLUS 平台上进行仿真,并下载到EL教学实验箱上进行调试成功。

(3)在调试成功的基础上,整理出设计图纸和其他文件。包括:①总框图(数据通路图);

②微程序控制器逻辑图;②微程序流程图;④微程序代码表;⑤元件排列图(或VHD程序清单);⑥设计说明书;⑦调试小结。

2.实验设备

(1)PC机一台

(2)EL教学实验箱

(3)MAX+PLUS Ⅱ配套软件

二、数据格式和指令系统

本模型机是一个8位定点二进制计算机,具有四个通用寄存器:R0~R3,能执行11条指令,主存容量为256KB。

1.数据格式

数据按规定采用定点补码表示法,字长为8位,其中最高位(第7位)为符号位,小数点位置定在符号位后面,其格式如下:

数值相对于十进制数的表示范围为:

-1≤X≤1―2―7

2.指令格式及功能

由于本模型机机器字只有8位二进制长度,故使用单字长指令和双字长指令。

⑴ LDR Ri,D

格式

功能:

Ri←M(D)

(2)STR Ri,D

格式

功能:

M(D)←(Ri)

(3)ADD Ri,Rj

格式 7 4 3 2 1 0

功能:

Ri ←(Ri)+(Rj)

(4)SUB Ri,Rj

格式

功能:

Ri ←(Ri)-(Rj)

(5)AND Ri,Rj

格式 7 4 3 2 1 0

功能:

Ri ←(Ri)∧(Rj)

(6)OR Ri,Rj

格式

功能:

Ri ←(Ri)∨(Rj)

(7)MUL Ri,Rj

格式 7 4 3 2 1 0

功能:

Ri ←(Ri)×(Rj)

(8)转移指令

格式

功能:

条件码 00 无条件转移 PC ← D

01 有进位转移 PC ← D

10结果为0转移 PC ← D

11结果为负转移 PC ← D

⑼IN R i,M j

格式

其中M j为设备地址, j选中实验箱的二进制代码

开关。功能:

R i←(M j)

⑽OUT R i,M j

格式 7 4 3 2 1 0

当M j=10时,选中实验箱的显示灯。功能:

(M j)← R i

⑾HALT(停机指令)

格式 7 4 3 2 1 0

功能:

用于实现停机。

三、总体设计

总体设计的主要任务是

(1) 选定CPU中所使用的产要器件;

(2) 根据指令系统、选用的器件和设计指标,设计指令流的数据通路;

(3) 根据指令系统、选用的器件和设计指标,设计数据流的数据通路。

计算机的工作过程,实质上是不同的数据流在控制信号作用下在限定的数据通路中进行传送。数据通路不同,指令所经过的操作过程也不同,机器的结构也就不—样,因此数据通路的设计是至关重要的。所谓数据通路的设计,也就是确定机器各逻辑部件相对位置的总框图。

数据远路的设计,目前还没有非常标准的方法。主要是依据设计者的经验,并参考现有机器的三种典型形式(单总线、双总线或三总线结构),根据指令系统的要求,可采用试探方法来完成。其主要步骤如下:

(1) 对指令系统中的各条指令进行分析,得出所需要的指令周期与操作序列,以便决定各器件的类型和数量。

(2) 构成一个总框图草图,进行各逻辑部件之间的互相连接,即初步确定数据通路,使得由指令系统所包涵的数据通路都能实现,并满足技术指标的要求。

(3) 检验全部指令周期的操作序列,确定所需要的控制点和控制信号。

(4) 检查所设计的数据通路,尽可能降低成本,简化线路。

以上过程可以反复进行,以便得到一个较好的方案。

图1给出了一个没有考虑乘法除法指令操作的总框图参考方案,注意,在此方案确定之后,应该检查所选用的各个器件是否满足数据通路的要求。实际上,数据通路的设计与器件的选择应同时进行而不能分离地工作。其次,接入总线的器件都要有三态输出,以便与总线连接。另外,在信息传送过程中应当注意器件原码和反码输出的配合关系。

图1所示的方案采用单总线结构,使用的许多器件都是三态输出,这种方案便于总线的连接和扩展,但缺点是指令和数据的传送都要经过总线,因此对总线的使用权就要分配得当。另外,执行算术逻辑指令时,先将第一个操作数由通用寄存器R i送至缓冲寄存器DR1,然后再由通用寄存器R j取第二个操作数送至缓冲寄存器DR2,之后送往ALU进行运算。显然,执行—条算术逻辑指令的时间相应要长一些。

图1 模型机数据通路框图

四、微程序控制器

数据通路框图—旦确定,指令流与数据流的通路也就随之最后确定,因而运算器和控制器的大部分结构也就确定下来了。

图1中各功能器件上还标注了控制点及其控制信号.这些控制信号就是微程序控制器进行设计的依据。

1.微指令格式

微指令格式建议采用水平型微指令,微命令编码采用直接表示法和分段直接译码法相结合的混合表示法,以缩短微指令长度。后继地址采用断定方式。微指令格式如下:

同学们应根据本模型的具体情况来确定各字段的长度。

2.微程序控制器

根据微指令和微程序的长度,确定控制存储器需选用几片EPROM(2716)用位扩展方式组成。

3.微程序设计

将机器的全部指令系统采用微指令序列实现的过程,叫做微程序设计。一条机器指令对应一个微程序,11条机器指令应当对应11个微程序。

微指令格式确定后,微程序的横向设计在于正确地选择数据通路,纵向设计在于确定后继微指令地址.事实上,微程序设计的关键在于纵向设计,即如何确定下一条微指令的地址。通常的做法是先确定微程序分支处的微地址,因为微程序分支处需要进行判别测试。这些微地址确定以后,就可以在一个“微地址表”中把相应的微地址单元填进去,以免后面的设计中重复使用而未发现,以致造成设计错误。

五、输入输出

输入输出是人机联系的重要手段,输入可采用开关、键盘等方式,输出可采用字符显示或打印输出等方式。考虑到成本与设备因素,本模型机采用最简单的二进制开关输入和发光二极管显示的方法,换句话说,本模型机中只使用两种“外部设备”:一种是二进制代码开关,它作为输入设备;另一种是发光二极管,它作为输出设备。

本设计为了节省器件,输入输出时可以不设置专门的数据缓冲寄存器。例如输入时,二进制开关数据直接经过三态门送到总线上,只要开关状态不变,输入的信息也就不变。输出时,将输出数据送到数据总线BUS上,驱动发光二极管进行显示。

如果采用正规的输入输出方法,可采用相应的器件构成接口电路。

六、组装与调试

1.元器件的布局与连接

由图1看出,数据通路一旦确定之后,在调试过程中将会很少有变化。因此从组装和调试的角度来看,模型机总框图可划分为如下三个相对独立的功能模块:

(1)运算器、存储器和系统总线

(2)时序产生器

(3)微程序控制器

本模型机所使用的器件较多,布线的工作量相当大,希望同学们认真、细致地工作。

元器件布局的一般原则是尽心能地按功能电路相对集中,这样做的好处是各器件之间的连线较短,同时也便于组装和调试.

为了安装和调试检查方便,集成电路应当以相同方向排列。通常总是把集成电路片的定位缺口向左,此时它的电源脚在左上角,接地脚在右下角。这种排列有利于设置电源线与地线。规整的布线不仅对调试维修带来方便,也可以保证线路可靠地工作,任何马马虎虎的接线将会给调试带来难以想象的后果,因此在布线前必须对布线质量给予高度重视。

2.分调试

按功能模块进行分调是实现总调的前提和基础,因为只有各功能模块工作正常后,才能保证全机的正确运行。为此必须—步一个脚印、精心做好分调试,切不可赶急图快,以免在未做好分调的情况下进入总调,而最后又不得不返回头来重新分调。分调的重点放在时序产生器和微程序控制器上。

3.总调

当各模块分调正常后,可将控制器发出的控制信号线接到相应的功能部件中去,转入全机总调试。

总调的第一步,事实上是检查全部微程序流程图。方法是用单步方式读出并执行微指令。进行的顺序是:先执行控制台指令的微程序流程图,然后执行机器指令的微程序流程图。当全部微程序流程图检查完毕后,如果存储器和运算器功能执行正确,就算总调第一步完成。

第二步是在内存中装入包括有全部指令系统的一段程序和有关数据,进一步可采用单指令方式或连续方式执行,以验证机器执行指令的正确性。

第三步是编写一段表演程序,令机器运行。

第四步是运行指导教师给出的验收程序。如果通过,就算大功告成。

计算机硬件课程设计--简单模型机设计

计算机硬件课程设计--简单模型机设计

计算机硬件综合课程设计报告

简单模型机设计 一、设计要求 硬件:TDN-CM+计算机组成原理实验系统一台,PC机一台,排线若干,串口线一根。 软件:CMP软件 二、设计目的 1.通过对一个简单计算机的设计,对计算机的基 本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 2.通过这次课程设计,建立整机的概念,对程序 进行编辑,校验,锻炼理论联系实际的能力。 3.通过本次课程设计熟悉和训练设计思路与实 现方法。 4.通过本次课程设计锻炼团队合作的能力和团 队问题的解决。

三、设计电路及连线 设计电路及连线实验图如下图1-1所示。 图1-1 简单模型机连线图 四、设计说明 本次课程设计将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器

来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本次课程设计采用五条机器指令:IN (输入)、ADD (二进制加法)、STA (存数)、OUT (输出)、JMP (无条件转移),其指令格式如下(前4位为操作码): 助记符 机器指令码 说 明 微程序入口地址 IN 0000 0000 “INPUT DEVICE ”中 10 的开关状态→R0 0001 0000 ×××× ×××× R0+[addr]→R0 11 0010 0000 ×××× ×××× R0→[addr] 12 0011 0000 ×××× ×××× [addr]→BUS 13 0100 0000 ×××× ×××× addr →PC 14 ADD addr STA

计算机组成原理模型计算机设计实验报告

洛阳理工学院实验报告 系别 计算机与信息工程学院 班级 学号 姓名 课程名称 计算机组成与系统结构 实验日期 实验名称 实验八 模型计算机设计 成绩 实验条件: 1、 DJ-CPTH 超强型组成原理实验箱 2、 PC 机一台 实验要求: 设计模型计算机,并利用CPTH 实验仪的上位机软件控制该模型计算机,实现模型机的数据传送功能。 实验目的: 1、掌握微程序控制模型计算机的基本工作原理和设计方法。 2、掌握微程序存储器uM 的控制方法和上位机软件的使用方法。 实验步骤: (1)运行CPTH ,新建文件。 (2)录入下表中第3列,保存为EX1.ASM 。 (3)单击菜单“汇编”的“汇编下载”。 (4)按“单步”运行,观察A /R0/ R1的变化,记录变化情况。 (5)按“复位”。 (6)按“单步”运行,打开“跟踪”标签,观察微程序和微地址变化,按下表记录变化情况,并填写每一步的功能。 程序地址 机器码 机器指令 功能 00 01 7C 12 mov a,#12h 传送12H 到A 02 80 mov r0,a 传送12到R0 03 81 mov r1,a 传送12到R1 04 05 7C 1E mov a,#30 传送30到A End

状态PC EM IR 微程序功能 T0 01 7C 7C CBFFFF 取出00号单元指令,打入IR T1 01 7C 7C C7FFF7 传送12H到A T0 03 80 80 CBFFFF 取出02号单元指令,打入IR T1 03 80 80 FFFB9F 传送12H到R0 T0 04 81 81 CBFFFF 取出03号单元指令,打入IR T1 04 81 81 FFFB9F 传送12H到R1 T0 05 7C 7C CBFFFF 取出04号单元指令,打入IR T1 05 7C 7C C7FFF7 传送30到A T0 07 00 00 CBFFFF 取出结束指令,同时结束运行实验过程如图所示:

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.360docs.net/doc/7f15771924.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

模型计算机系统的设计与实现

题目:模型计算机系统的设计与实现学生姓名: 学院: 班级: 指导教师: 2010年1 月8 日

内蒙古工业大学课程设计(论文)任务书 课程名称:计算机组成与结构课程设计学院:信息工程学院班级:计07-_3班__ 学生姓名:武宝全 _ 学号: 200710210023 指导教师:董志学王晓荣邢红梅

摘要 本次课程设计要求设计实现一个简单8位模型计算机系统,包括用可编程器件实现的运算器,微程序控制器,存储器,简单输入/输出接口和设备,时序和启停控制等电路。通过自己定义的一套指令系统,主要实现算术A加B,A+/B运算,逻辑A·B,置B运算,输入指令,输出指令和存储器存数指令。由微程序控制器按照微指令格式给出下地址,并将结果存入存储器。用Protel电路设计软件画出所设计的模型机系统的电路原理图,包括运算器,微程序控制器,存储器、简单输入/输出设备、时序和启停等电路。用可编程器件EPM7123实现运算器,并借助MAXPLUSII软件实现其功能。在QDKJ-CMH-CPLD试验平台上调试并进行验证。 关键字:微程序、控制器、存储器、

引言 通过俩周的组成与结构设计,设计一个8位模型计算机系统,包括用可编程器件实现的运算器,微程序控制器,存储器,简单输入/输出接口和设备,时序和启停控制等电路。设计工作是在之前的验证实验基础之上完成的,通过自己的思维,实现微程序机的一些基本的逻辑运算。根据现有的二进制指令系统,条件为模型计算机系统为8位模型机,运算器为8位运算器,数据总线和地址总线都为8位,输入设备为8位开关,输出设备为8位发光二级管指示灯。在现有的芯片内烧制自行设计的微指令,达到在输入一个数据后自加,减一,实现自行跳转。 在设计完成后,再输入数据04后得出07的结果,并实现跳转。

组成原理课程设计-设计一台模型计算机

计算机组成原理课程设计 一、基本要求:设计一台模型计算机 具体内容: 1. 数据格式和指令系统 2. 数据通路 3. 时序系统 4. 微指令格式 5. 微程序控制器 6. 微程序流程图 7. 微程序代码表 二、模型机设计 1. 数据格式和指令系统的约定 1) 数据格式 模型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下: 7 6 5 4 3 2 1 0 其中: 第7位为符号位,数值表示范围是:-1≤X<1。 2) 指令系统 模型机设计四大类指令共16条,其中包括算术逻辑指令(9条)、I/O指令(4条)、访内及转移指令(2条)和停机指令(1条)。 因为指令系统共16条指令,所以操作码是4位。 由于模型机机器字长为8位,故设计单字长指令和双字长指令供使用。 (1) 算术逻辑指令 设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下: 7 6 5 4 3 2 1 0 其中,OP-CODE为操作码,Rs为源寄存器,Rd为目的寄存器,并规定:9条算术逻辑指令的名称、功能和具体格式。

(2) 访内指令及转移指令 模型机设计2条访问指令,即存数(STA)、取数(LDA),2条转移指令,即无条件转移(JMP)、结果为零或有进位转移指令(BZC),指令格式为: 7 6 5 4 3 2 1 0 其中,OP-CODE为操作码,Rd为目的寄存器,D为位移量(正负均可),X为寻址方式,其定义如下: (3) 输入输出指令 格式如下: 7 6 5 4 3 2 1 0 其中,addr=01 时,选中“INPUT DEVICE”中的开关组作为入设备,addr=10时,选中“OUTPUT DEVICE”中的数码快作为输出设备。 (4) 停机指令 格式如下: 7 6 5 4 3 2 1 0 这类指令只有一条,即停机指令HALT (5) 模型机指令系统

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师:________________________________ 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书 姓名:院(系):信息技术学院 专业:计算机科学与技术学号:课程设计题目:基本模型机设计与设计课程设计要求: 本课程设计以ZYE1603E计算机组成原理及系统结构教学实验系统为平台,设计完成。 1. 按给定的数据格式和指令系统,设计一个微程序控制器。 2. 设计给定机器指令系统以及微程序流程图,按微指令格式写出微程序的为指令代码。 3. 连接逻辑电路完成启动、测试、编程、测试、效验和运行,并观测运行过程及结果。 4. 将微程序控制器模块与运算器模块,存储器模块联机,组成一台模型计算机。 5. 用微程序控制器控制模型机的数据通路。 6. 通过在模型机上运行由机器指令组成的简单程序,掌握机器指令与微指令的关系, 建立计算机整机的概念,掌握计算机的控制机制。 7. 按指定的应用项目进行汇编指令格式及功能设计,并设计相应的机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序。在PC机上编辑机器指令和微程序, 装载代码到ZYE1603B实验系统并运行,实现应用要求。 工作计划及安排:

2017年6月19日上午:集中进行课程设计题目的讲解和分解,提出学生需要掌握的硬件和软件方面的知识和要求;以及在课程设计期间的安全和纪律要求,熟悉开发环境 (ZYE1603B (4401 机房)。 2017年6月19日下午:查阅资料、确定题目,了解各人(小组)设计安排,就设计过程进行集中讲解,解决设计过程存在的问题。 2017年6月20日~6月22日:在机房进行系统的设计。 2017年6月23日上午:分组讨论,进行交流,了解学生的掌握情况,对本周的学习情况进行总结,对后续的设计提出要求;对存在的问题进行分析和解决。(机房)。 2017年6月23日下午:答辩,成绩评定。 指导教师签字_________________ 2017年6月19日

模型计算机的设计论文讲解

目录 1模型机设计方案及步骤 (2) 1.1设计方案 (2) 2指令格式 (4) 2.1指令格式 (4) 2.2指令集 (5) 3数据通路 (6) 4指令操作流程 (6) 5微操作控制信号的逻辑表达式 (14) 6微信号简化 (18) 7微信号电路图 (18) 8模型机微控制信号连线图 (19) 9总结与体会 (20) 10附录 (21)

模型计算机的设计 1模型机设计方案及步骤 1.1设计方案 本次课程设计主要任务是设计一模型计算机并利用组合逻辑控制器或微程序进行设计,且至少实现4条CPU指令(从ADD,SUB,XOR,AND,OR中选择)。 在此我选择使用组合逻辑控制器(硬连线)方式设计,并实现ADD,SUB,AND,OR四条指令。 四条指令意义如下: ADD数学计算两个数的和 SUB数学计算两个数的差 AND逻辑运算两个数的并 OR逻辑运算两个数的或 对于以上设计的理由如下: 1.对于方式的选取我们选取硬连线实现,因为此次我们设计的模

型机较为简单,而且采用元件较少,我们采用硬连线可以使用最少的元件从而取得较高的操作速度。 2.对于字长的选取,多方面考虑后,基于资源和设计的需要可以采用八位字长。由于共有四条指令,为了满足选取所以选取其中2位作为OP操作,由于在对数的操作中不仅涉及到对数据的存储还会牵连到指令的操作,在此指令的操作有四条指令所以必须有四个储存单元,加上存储数据的一个单元,一共需要五个单元,再由于计算机存储字长值的限制,选不出5个存储单元的字长。所以至少为3位,其中给出的是8个存储单元,对于寄存器,只有一个数据需要存储,所以1位就行了,但是考虑到计算机存储的限制,所以我们可以把后3位扩展给它。所以共有8位。其中3位表示内存单元地址,用3位表示寄存器编号。加上两个必须的寄存器,其中寄存器有R0、R1、PC、IR。 3.对于内存的选取我们在实际过程中买不到这么小的内存,所以实际操作中可以将前几位置零(接地),用后几位表示即可。 最终根据以上理由我们规定它有8位的数据线D[7:0],执行4条指令,每条指令的长度为1byte,因而它有6位的地址线A[5:0] 。它可以存取的存储器为64byte(1byte=8bits) 我们为该CPU定义以下寄存器:

模型计算机设计方案的制定

一、设计任务与要求: 任务: 1.设计一个8位模型计算机系统,包括运算器,微程序控制器,存储器、简单输入/输出设备、时序和启停等电路; 2.画出系统组成框图,指出各个部分的功能和实现途径; 3.针对所设计的模型机系统,每组学生自己定义一套简单的指令系统,给出助记符指令格式,分配指令的机器代码,指出指令的功能; 4.写出设计方案。 要求: 1.所设计的模型计算机系统为8位模型机,运算器为8位运算器,数据总线和地址总线都为8位,输入设备为8位开关,输出设备为8位发光二级管指示灯; 2.每组定义的指令系统为4至8条指令,必须包含本组运算器特有运算功能的指令,每组必须有两条以上指令与其他组不同,经老师审核后确定; 3.每组制定一份设计方案。 二、系统组成框图及各部分的功能和实现途径: (一)、系统的总体结构框图: 图1 总体结构框图 (二)各个部分的功能和实现途径: 1、收发器74LS245 8一位 无反相输出 三态输入

2.、D型触发器74LS273 8一位 清零输入 Q0=在时钟脉冲上升沿之前QT的输出3、算数逻辑单元功能发生器74LS181 4一位 16一功能 逐位进位输出

4.、2K CMOS静态随机存贮器RAM(2048×8)6116 5、D型触发器74LS374 8一位 透明的 无反相 3一态输出 6、累加器74LS163 4一位二器异步清零输入 上计数器可计数输入 置位输入行波进位输出 异步计数

三、简单指令系统: (1)IN A,DATA。指令码10,A指R0,DATA指SW7-SW0上的数据输入到R0寄存器。是输入指令。(2)ADD A,B。指令码20 ,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相加,结果送R0,是加法指令。 (3)SUB A,B。指令码30,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相减,结果送R0,是减法指令。 (4) OPP A,(OPP)。指令码40 OPP,A指R0,OPP为贮存器地址。将R0寄存器的内容与内存中以OPP为地址单元内的数相乘,其中这个数为-1,目的是求相反数,是求相反数指令。 (5)MUL A,B。指令码50,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相乘,结果送R0,是乘法指令。 (6)STA(STA),A。指令码60 STA,A指R0,STA为存贮器地址。将R0寄存器的内容存到以STA 为地址的内存单元中。 (7)OUT BUS,(STA)。指令码70(STA),BUS为数据总线,STA为存贮器地址。将内存中以STA 为地址的数据读到总线上。 (8)JMP OPP。指令码80 。OPP指存贮器地址。程序无条件地转移到OPP(可以是存贮器中不同的地址)所指定的内存单元地址。 其中实现求相反数指令后,可以根据求出的相反数实现减法运算;将求相反数指令中的(OPP)换做寄存器R1加以修改可以实现乘法运算。本次实验的主要目的是实现两个数的相加以及实现求一个数的相反数。 (注:文件素材和资料部分来自网络,供参考。请预览后才下载,期待你的好评与关注。)

8位模型计算机的设计

石家庄经济学院 华信学院 计算机组成原理课程设计报告 题目 8位模型计算机的设计 姓名 学号 班号 4064170801 指导老师关文革尹立洁赵洋 成绩 2009年1月 目录 1. 课程设计目的 52 2. 开发工具选择 52 3. 方案选择 52 4.指令系统设计 52 5. 模型机框图设计 52 6. 指令流程图 52 7. 指令操作时间表(组合逻辑控制器)或者微指令格式(微程序控制器)设计 52 8. 微操作信号综合与优化(组合逻辑控制器)或者微程序(微程序控制器)设计 52 9. VHDL实现 52 10. 调试仿真 52 11. 课程设计回顾总结 52 参考文献 52 附录 52 一、课程设计目的 1、计算机组成原理课程设计的主要任务是让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。

2、通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。 二、开发工具选择 以TEC-CA教学实验系统为平台,采用硬件描述语言 VHDL为设计工具,应用QUARTUSⅡ5.1环境进行大规模集成电路的功能设计仿真。 三、方案选择 应用微程序控制器来实现8位模型计算机的设计。 四、指令系统设计 所要设计的微程序控制器是由七条指令来完成的,即:load,sta,add,sub,and1,nop,jmp。实现功能分别如下:load指令是公操作,实现取数据的功能;sta指令实现存操作;add指令实现加法操作;sub指令实现减法操作;and指令实现与操作; nop指令实现空操作;jmp指令实现无条件跳转操作。 其中add,sub,and1,属于双操作数指令,其指令格式如下图: 目的 源 其中jmp属于转移指令,其指令格式如下图: 转移条件 转移地址 五、模型机框图设计 I/O 六、指令流程图 Addr_bus load_MAR CS R_NW 3 OP

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

计算机组成原理-简单模型机设计课设

目录 摘要 (2) 前言 (3) 正文 (4) 一、设计目的和设计原理 (4) 1.1设计目的 (4) 1.2设计原理 (4) 二、总体设计 (7) 三、详细设计 (8) 3.1运算器的物理结构 (8) 3.2存储器系统的组成与说明 (11) 3.3指令系统的设计与指令分析 (12) 3.4微程序控制器的逻辑结构及功能 (14) 3.5微程序的设计与实现 (18) 四、系统调试 (27) 总结 (29) 参考文献 (30) 致谢 (31)

摘要 根据设计任务书要求,本设计要实现完成一个简单计算机的设计,主要设计部分有运算器,存储器,控制器以及微指令的设计。 其中运算器由运算芯片和寄存器来完成,存储器由总线和寄存器构成,使用硬布线的方式实现控制器,从而完成设计要求。 : 关键词:基本模型机的设计;运算器;存储器;控制器;

前言 计算机组成原理是计算机科学技术学科的一门核心专业基础课程。从课程的地位来说,它在先导课程和后续课程之间起着承上启下的作用。 计算机组成原理讲授单处理机系统的组成和工作原理,课程教学具有知识面广,内容多,难度大,更新快等特点。此次课程设计目的就是为了加深对计算机的时间和空间概念的理解, 增强对计算机硬件和计算机指令系统的更进一步了解。 计算机组成原理课程设计目的是为加深对计算机工作原理的理解以及计算机软硬件之间的交互关系。不仅能加深对计算机的时间和空间的关系的理解,更能增加如何实现计算机软件对硬件操作,让计算机有条不紊的工作。

正文 一、设计目的和设计原理 1.1设计目的 融会贯通计算机组成原理课程中各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬连线控制器的认识,建立清晰的整机概念。对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 1.2设计原理 (1)运算器 设计中所用的运算器数据通路,其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,测试时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,测试时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、

计算机基本模型机设计与实现

计算机基本模型机设计与实现 万红明,李明威 ——湖北省孝感学院计算机科学系 摘要:本科研项目主要在传统模型机的基础上进一步设计且实现模型机的主要组成部件(运算器,存储器,控制器,基本输入输出设备)的基本功能,结合基本硬件资源,充分利用微程序,时序,组合逻辑等控制模型机完成一些基本的指令功能。 关键词:计算机组成原理模型机微指令微程序。 一、模型机的硬件组成 计算机是由运算器、存储器、控制器以及输入输出四大主要单元组成。它们之间通过一条公共的通道进行数据的传递和控制,即总线。其中运算器主要是负责数据的逻辑和算术运算,存储器的任务就是存放我们编写的机器指令(程序)和一般的数据存储,控制器是根据读取内存中的机器指令从而对相应的指令作出分析,继而对我们的计算机发不同的控制信号。输入输出单元则是将我们需要运行的程序写入内存,再由机器运行计算得出结果,予以显示输出。下图为模型机的基本框架: 图(1) 下面我们就对模型机的硬件设计思路作一些简要的介绍(设计的重点是在微程序的设计上,在后面我们将作祥细的说明。 (1)算术逻辑运算单元 我们用的运算器是将两个74LS181进行级联做成一个八位的运算器,并且带有进位功能。当有进位产生时,在高四位的74LS181上的CN+4端输出一个高电平,经D触发器锁存输出并送致LED显示。74LS181有多种组合状态,因此会有多种不同的结果。我们在此只设计实现两个数据相加的功能。它的输入端直接连着两个锁存器(74LS273),它能够将输入端的数据送进锁存器内锁存,进而将数据送进运算器进行算术或逻辑运算。运算器运算后的结果将通过一个三态门(74LS245)后才能送到总线与其它的部件交换数据,设计中三态门的作用是使各部件正常工作而互不影响。 (2)存储单元 存储器芯片选用的是6116(2K x 8),其数据端接至数据总线,地址由地址锁存器(74LS273)给出。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

一台模型计算机的设计与调试

课程设计题目:一台模型计算机的设计与调试 一.设计目的: 1、融会贯通教材各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,加深计算机工作中“时间-空间”概念的理解,从而清晰地建立计算机的整机概念。 2、学习设计和调试计算机的基本步骤和方法,培养科学研究的独立工作能力,取得工程设计和调试的实践和经验。 二.设计任务: 1、根据给定的数据格式和指令系统,设计一台微程序控制的模型计算机。 2、根据设计图,在QUARTUS II环境下仿真调试成功。 3、在调试成功的基础上,整理出设计图纸和相关文件,包括: (1)总框图(数据通路); (2)微程序控制器逻辑图; (3)微程序流程图; (4)微程序代码表; (5)设计说明书; (6)工作小结。 三.设计的数据格式和指令系统: 1、数据格式 数据字规定采用定点整数补码表示法,字长8位,其中最高位为符号位,其格式如下: 2、指令格式 本实验设计使用5条机器指令,其格式与功能说明如下: IN ADD STA OUT JMP 说明:IN指令功能是将数据开关的8位数据输入到R0寄存器。 ADD指令功能是将R0寄存器的内容与内存中地址为A的数相加,结果存放在R0寄存器中。STA指令功能是将R0寄存器中的内容存储到以第二个字为地址的内存单元中。 OUT指令功能是将内存中以第二个字为地址的内存单元中的数据读出到数据总线,并显示。JMP指令功能是程序无条件转移到第二个字指定的内存单元地址。 四、总体设计: 1、总体设计的主要任务是选定所用器件,设计指令流和数据流的数据通路,根据指令系统的要求,总体设计的主要步骤如下: (1)对指令系统中的各条指令进行分析,得出所需要的占领周期与操作序列,以便确定各器件的类型和数量;

计算机组成原理 基本模型机实验

淮海工学院计算机工程学院实验报告书 课程名:《计算机组成原理》 题目:实验四基本模型机实验 班级: 学号: 姓名:

1、目的与要求 1)在“微程序控制器的组成与微程序设计实验”的基础上,将第一部分中的各单元组成系统,构造一台基本模型计算机。 2)本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。2、实验设备 1) ZYE1601B计算机组成原理教学实验箱一台,排线若干。 2) PC机一台。 3、实验步骤与源程序 l) 根据该模型机的指令系统,编写一段程序。这里给出两个参考程序。 参考程序一: 本程序从输入设备(数码开关)取入数据,保存在内存单元08,然后从08单元送到输出设备(LED数码管)进行显示。然后程序停止(请实验者考虑:如何修改程序,使程序不断从输入设备取出数据,送到输出设备显示。每次循环过程中,可以使输入设备数据改变,考察输出显示的结果。)。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0 01 20 STA [08H] ;R0→[08] 02 08 ;地址 03 30 OUT [08H] ;[08H]→BUS 04 08 ;地址 05 40 JMP [00H] ;00H→PC 06 00 ;跳转地址 参考程序二: 本程序从输入设备(数码开关)读入数据,与0A单元的数据相加,然后送到输出设备(LED 数码管)进行显示。本程序不断地循环运行,在运行中可改变输入开关(INPUT)的值,观察输出显示的变化。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0,采集数据 01 10 ADD [0AH] ;R0+[0AH]→R0,输入数据与指定数据相加 02 0A ;地址 03 20 STA [0BH] ;R0→[0B] 04 0B ;地址 05 30 OUT [0BH] ;[0BH]→BUS,输出显示 06 0B ;地址 07 40 JMP [00H] ;00H→PC 08 00 ;跳转地址 0A 01 ;加数,可自定 0B ;求和结果保存在0B单元 2) 按图1连接实验线路。 3) 写程序: 对于本实验箱可以用两种方法来写入程序。 方法一:手动写入 (1)先将机器指令对应的微代码正确地写入2816中,由于在实验1.6微程序控制器的组成与微程序设计实验中已将微代码写入E2PR0M芯片中,对照表2—2校验正确后就可使用。

计算机组成原理课程设计——模型计算机的设计与实现

---------计算机组成原理课程设计 报告书 课题名模型计算机的设计与实现 班级 姓名 学号 指导教师 日期 2012.6.18~ 2012.6.21

一、设计目的 1、融会贯通教材各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,经阿什计算机工作中“时间-空间”概念的理解,从而清晰地建立计算机的整机概念。 2、学习设计和调试计算机的基本步骤和方法,培养科学研究的独立工作能力,取得工程设计和调试的实践和经验。 二、设计内容 1、根据给定的数据格式和指令系统,设计一台微程序控制的模型计算机。 2、根据设计图,在QUARTUS II环境下仿真调试成功。 3、在调试成功的基础上,整理出设计图纸和相关文件,包括: (1)总框图(数据通路图); (2)微程序控制器逻辑图; (3)微程序流程图; (4)微程序代码表; (5)设计说明书; (6)工作小结。 三、数据格式与指令系统 1、数据格式 数据字规定采用定点整数补码表示法,字长8位,其中最高位为符号位,其格式如下:7 6 5 4 3 2 1 符号位尾数

2、指令格式 本实验设计使用5条机器指令,其格式与功能说明如下: 7 6 5 4 3 2 1 0 IN 00 1 0 0 0 0 0 ADD 0 1 0 0 0 0 0 0 A STA 0 1 1 0 0 0 0 0 A OUT 1 0 0 0 0 0 0 0 A JMP 1 0 1 0 0 0 0 0 A IN指令为单字长(字长为8bits)指令,其功能是将数据开关的8位数据输入到R0寄存器。 ADD指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其功能是将R0寄存器的内容与内存中地址为A的数相加,结果存放在R0寄存器中。 STA指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其功能是将R0寄存器中的内容存储到以第二个字为地址的内存单元中。 OUT指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其功能是将内存中以第二个字为地址的内存单元中的数据读出到数据总线,显示之。 JMP指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其功能是程序无条件转移到第二个字指定的内存单元地址。

计算机组成原理模型机设计与实现

武汉华夏理工学院 课程设计 课程名称计算机组成原理 题目模型机设计与实现 专业 班级 姓名 成绩 指导教师田小华 2016 年12 月27 日

武汉华夏理工学院信息工程系 课程设计任务书 课程名称:计算机组成原理指导教师:田小华 班级名称:开课教研室:软件与信息安全 一、课程设计目的与任务 理解计算机系统各个功能部件的功能、结构和工作原理,正确理解各功能部件之间的相互关系及其在计算机系统中所起的作用;掌握计算机系统各个功能部件的设计和分析技术,包括数据与指令的编码、存储器、运算器、输入输出接口等。 在此基础上,使学生通过理论与实践的结合,利用基本模型计算机的构建与调试实验,完整地建立计算机硬件的整机模型,掌握中央处理器的基本结构和控制流程,掌握机器指令执行的基本过程,熟悉微程序控制器的基本结构和微程序设计技术的主要技巧,理解一条机器指令与一段微程序的关系,明确高级语言指令与微指令的对应关系,充分理解控制信息流利用数据通路完成对数据流的加工处理的过程。 通过课程设计,使学生将所学专业知识综合运用,在实践活动中积累经验,增长才干,训练学生独立工作能力,激发学生的学习热情,培养学生的自主创新精神,养成务实严谨的工作作风。 二、课程设计的内容与基本要求 1.按给定的数据格式、机器指令格式和微指令格式,利用基本的数字逻辑器件,设计—台微程序控制的模型计算机。 2.设计五条机器指令:IN,ADD,STA,OUT,JMP,并用微指令编写微程序,实现每条机器指令的功能。 3.在TD-CMA教学实验平台上实现基本模型机方案: ⑴建立数据通路,定义开关SWA及SWB的功能;⑵确定微程序控制流程,掌握控制台操作;⑶输入编写的五条机器指令的微程序序列;⑷输入实验机器指令程序序列;⑸设计基本模型的物理连线;⑹完成微程序的调试,实现实验机器指令程序的功能。 完成模型机调试任务后,整理课程设计资料,撰写课程设计报告。课程设计报告内容包括: ①模型机数据通路图;②微程序控制器逻辑模块图;③微程序控制流程图;④元件排列图; ⑤设计说明书;⑥调试小结。 三、课程设计步骤及时间进度和场地安排 《计算机组成原理》课程设计将安排在第17周, 地点在信息系实验楼523教室。具体安排如下:1.第17周周1(1节)(12月26日) :集中讲解课程设计原理与方法,3-203教室 2.第17周周1(2--4节):完成模型机的实验线路连接

计算机组成原理一台模型计算机的设计

计算机组成原理课程设计……一台模型计算机的设计 一、教学目的、任务与实验设备 1. 教学目的 (1)融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,加深计算机工作中“时间—空间”概念的理解,从而清晰地建立计算机的整机概念。 (2)学习设计与调试计算机的基本步骤与方法,提高使用软件仿真工具与集成电路的基本技能。 (3)培养科学研究的独立工作能力,取得工程设计与组装调试的实践与经验。 2.设计与调试任务 (1)按给定的数据格式与指令系统,在所提供的器件范围内,设计一台微程序控制的模型计算机。 (2)根据设计图纸,在MAX+PLUS 平台上进行仿真,并下载到EL 教学实验箱上进行调试成功。 (3)在调试成功的基础上,整理出设计图纸与其她文件。包括:①总框图(数据通路图);②微程序控制器逻辑图;②微程序流程图;④微程序代码表;⑤元件排列图(或VHD 程序清单);⑥设计说明书;⑦调试小结。 2. 实验设备 (1) PC 机一台 (2) EL 教学实验箱 (3) MAX+PLUS Ⅱ配套软件 二、数据格式与指令系统 本模型机就是一个8位定点二进制计算机,具有四个通用寄存器:R 0~R 3,能执行11条指令,主存容量为256KB 。 1. 数据格式 数据按规定采用定点补码表示法,字长为8位,其中最高位(第7位)为符号位,小数点位置定在符号位后面,其格式如下: 2. 指令格式及功能 由于本模型机机器字只有8位二进制长度,故使用单字长指令与双字长指令。 ⑴ LDR Ri,D 格式功能: Ri ←M(D) (2) STR Ri,D 格式功能:

计算机组成原理模型机实验报告

实验六计算机系统综合设计与实现 一、实验目的 1、深入理解计算机系统工作的基本原理,建立整机概念。 2、融会贯通计算机组成原理课程的容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识。 3、培养科学研究的独立工作能力,取得工程设计与组装调试的实践经验。 二、实验要求 1、将已经设计的运算器、存储器和控制器连接,构建完整的计算机系统; 2、编写一段可以实现一定功能的指令程序,进行计算机整机系统功能的验证。 3、所有任务要求功能仿真和必要的验证。实验完成后,一周提交实验报告。 三、实验设备 PC机+ QuartusⅡ10.0 + FPGA(DE2-115)+TEC-8实验箱 四、计算机系统(TEC-8)综合逻辑框图 硬连线控制器控制信号切换电路ALU A端口B端口C Z R0 R1 R2 R3 IR PC AR 双端口RAM DBUS 五、实验任务 1、将实验二的运算器、实验三的存储器和实验五的控制器连接,构建完整的计算机系统;

2、计算机整机系统功能测试,进行功能仿真和时序仿真并在DE2-115上验证。 (1)根据指令系统,编写一段可以实现一定功能的程序,要求: 有一个合理的运算功能和逻辑关系; 指令数量:不少于8条; 指令类型:停机、跳转、RR、读存、写存、算术和逻辑运算; (2)将指令程序手工汇编成二进制代码; (3)理论上设置寄存器的初值,并计算程序执行后的结果; (4)将指令程序的二进制代码存入存储器RAM中; (5)将需要的运算数据初值存入寄存器R0-R3中; (6)进行程序连续运行的功能仿真和时序仿真,将仿真运算结果与理论计算结果进行比较。 六、实验步骤 实验电路图 子模块 (1)tri_74244

计算机组成原理生产实习内容(一)一台模型计算机的设计

附件1 计算机组成原理生产实习内容(一)一台模型计算机的设计 一、教学目的、任务与实验设备 1.教学目的 (1)融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,加深计算机工作中“时间—空间”概念的理解,从而清晰地建立计算机的整机概念。 (2)学习设计和调试计算机的基本步骤和方法,提高使用软件仿真工具和集成电路的基本技能。 (3)培养科学研究的独立工作能力,取得工程设计与组装调试的实践和经验。 2.设计与调试任务 (1)按给定的数据格式和指令系统,在所提供的器件范围内,设计一台微程序控制的模型计算机。 (2)根据设计图纸,在MAX+PLUS 平台上进行仿真,并下载到EL教学实验箱上进行调试成功。 (3)在调试成功的基础上,整理出设计图纸和其他文件。包括:①总框图(数据通路图);②微程序控制器逻辑图;②微程序流程图;④微程序代码表;⑤元件排列图(或VHD 程序清单);⑥设计说明书;⑦调试小结。 2.实验设备 (1)PC机一台 (2)EL教学实验箱 (3)MAX+PLUS Ⅱ配套软件 二、数据格式和指令系统 本模型机是一个8位定点二进制计算机,具有四个通用寄存器:R0~R3,能执行11条指令,主存容量为256KB。 1.数据格式 数据按规定采用定点补码表示法,字长为8位,其中最高位(第7位)为符号位,小数点位置定在符号位后面,其格式如下: 数值相对于十进制数的表示范围为: -1≤X≤1―2―7 2.指令格式及功能 由于本模型机机器字只有8位二进制长度,故使用单字长指令和双字长指令。 ⑴ LDR Ri,D 格式 7 4 3 2 1 0 功能:

计算机组成原理--实验八-简单模型计算机实验

实验八 简单模型计算机实验 一、实验目的 1)通过实验分析简单模型机结构,了解计算机的工作原理。 2)掌握计算机微程序控制器的控制方法,掌握计算机指令执行过程 二、实验原理 基本整机模型数据框图如图所示,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 数据的通路从程序计数器PC的地址送到主存的地址寄存器,根据地址寄存器的内容找到相应的存储单元。 存储器中的数据是指令时,那么数据是从RAM送到总线,再从总线送到IR 中。 存储器中的数据是需要加工的数据时,那么数据是从RAM送到总线,再动总线送到通用寄存器中等待加工。 数据加工过程中,两个数据是从总线上将数据分别分时压入两个暂存器中,等待运算部件的加工,在数据加工完成以后。运算结果是通过三太门送到总线上。 三态门的控制时由微控制器来控制。

图:模型机的数据通路图 SW-G 三、实验过程 1.连线 按实验逻辑原理图连接以下控制信号。 1)时钟单元(CLOCK UNIT)的T1-T4接到微程序控制单元(MAIN CONTROL UNIT)

的T1-T4. 2)手动控制开关单元(MANUAL UNIT)的KA ,KB接到指令单元(INS UNIT) 的KA,KB。 3)指令单元(INS UNIT)的J(1)-J(5)、SE6-SE0、B-IR 接到的微程序控制单 元(MAIN CONTROL UNIT)的J(1)-J(5)、SE6-SE0、B-IR。 4)输入/输出单元(INPUT/OUTPUT UNIT)IO-W,IO-R接到微程序控制单元(MAIN CONTROL UNIT)的IO-W,IO-R,Ai接到地址单元(ADDRESS UNIT)的A0. 5)主存储器单元(MEM UNIT)M-W、M-R接到微程序控制单元(MAIN CONTROL UNIT)的M-W、M-R,A7-A0 接到地址单元(ADDRESS UNIT)的A7-A0. 6)地址单元(ADDRESS UNIT)的B-AR、B-PC、PC+1、PC-B接到微程序控制单元 (MAIN CONTROLUNIT)的B-AR、B-PC、PC+1、PC-B. 7)通用寄存器单元(REG UNIT)的B-R、R0-B 接到微程序控制单元(MAIN CONTROL UNIT)的B-DR、DR-B。 8)把算术逻辑单元(ALU UNIT)的B-DA1、B-A2、ALU-B、Cycn、CyNCn、S3-S0、 M、Ci接到微程序控制单元(MAIN CONTROL UNIT)的B-DA1、B-A2、ALU-B、Cycn、CyNCn、S3-S0、M、Ci。 2.写入、检验微代码 这项操作与实验七的操作过程相同 3.装入机器指令汇编程序操作 1)微程序控制单元(MAIN CONTROL UNIT)编程开关置于“RUN”状态。 2)手动控制开关单元(MANUAL UNIT)的“STEP/RUN”开关置于“STEP”状态。 3)手动控制开关单元(MANUAL UNIT)的KA,KB开关置于1、0写主存储器状 态。 4)拨动开关从11—0—1,产生一个负脉冲,清零程序计数器PC、微地址 寄存器。 5)拨动“START”按钮一次,从微程序控制存储器的00H微地址开始执行微指 令,暂停在0AH微地址处。 6)此时在输入数据开关上拨入实验用机器指令汇编程序表中对应00H地址的

相关文档
最新文档