数电第5章习题解答张克农版

数电第5章习题解答张克农版
数电第5章习题解答张克农版

5章课后习题解答

5.1 一同步时序电路如图题5.1所示,设各触发器的起始状态均为0态。 (1) 作出电路的状态转换表; (2) 画出电路的状态图;

(3) 画出CP 作用下各Q 的波形图; (4) 说明电路的逻辑功能。

[解] (1) 状态转换表见表解 5.1。 (2) 状态转换图如图解5.1(1)。 (3) 波形图见图解5.1(2)。

(4) 由状态转换图可看出该电路为同步8进制加法计数器。

5.2 由JK FF 构成的电路如图题5.2所示。

(1) 若Q 2Q 1Q 0作为码组输出,该电路实现何种功能? (2) 若仅由Q 2输出,它又为何种功能?

[解] (1) 由图可见,电路由三个主从JK 触发器构成。各触发器的J ,K 均固定接1,且为异步连接,故均实现T '触发器功能,即二进制计数,故三个触发器一起构成8进制计数。当Q 2Q 1Q 0作为码组输出时,该电路实现异步8进制计数功能。

(2) 若仅由Q 2端输出,则它实现8分频功能。

图题

5.1

图题

5.2

CP

Q 0Q 1Q 2

(1) (2)

图解 5.1

5.3 试分析图题5.3所示电路的逻辑功能。

[解] (1) 驱动程式和时钟方程

02

n

J Q =,01K =;0CP CP = 111J K ==;01CP Q =

210

n n

J Q Q =,21K =;2CP CP = (2) 将驱动方程代入特性方程得状态方程

0+1000020 ()n n n n n

Q J Q K Q Q Q CP =+=

+1111 ()n n Q Q CP =

+12210 ()n n n n Q Q Q Q CP =

(3) 根据状态方程列出状态转换真值表

(4) 作状态转换图

(5) 逻辑功能:由状态转换图可见该电路为异步5进制计数器。

5.4试求图题5.4所示时序电路的状态转换真值表和状态转换图,并分别说明X = 0及X = 1时电路的逻辑功能。

[解] (1) 写驱动方程和输出方程 0J X =, n 01K X Q = n 10J XQ =, n 10K Q = n 1Y Q = (2) 求状态方程

100000010

n n n n

n n

Q J Q K Q X Q X Q Q +=+=+

1111111010

n n n n n

n n

Q J Q K Q X Q Q Q Q +=+=+ 图题5.4

图解5.3

图题5.3

(3) 画次态卡诺图求状态转换真值表

(4) 作状态转换图如图解5.4(2)所示。

(5) 功能:当X =0时,实现返回初态;当X =1时,实现三进制计数功能。

5.5 试分析图题5.5所示的异步时序电路。要求: (1) 画出M = 1,N = 0时的状态图; (2) 画出M = 0,N = 1时的状态图; (3) 说明该电路的逻辑功能。

[解] (1) 见图解5.5(1)。

图解5.5(1) 图解5.5(2)

(2) 见图解5.5(2)。

(3)电路的逻辑功能:可逆的八进制计数器,M 、N 分别为加、减法运算控制端。 5.6. 已知图题5.6是一个串行奇校验器。开始时,首先由D R 信号使触发器置“0”。此后,由X 串行地输入要校验的n 位二进制数。当输入完毕后,便可根据触发器的状态确定该n 位二进制数中“1”的个数是否为奇数。试举例说明其工作原理,并画出波形图。

图题5.5

N M

CP Q 2

Q 1

1 图题5.6

图解 5.4(1)

[解] 写出电路的状态方程为,n n Q X Q

⊕=+1

。由于电路的初始状态为0,由状态方程

可知,当输入X 中有奇数个“1”时,输出Q 为1。波形图略。

5.7 已知图题5.7是一个二进制序列检测器,它能根据输出Z 的值判别输入X 是否为所需的二进制序列。该二进制序列在CP 脉冲同步下输入触发器D 1 D 2 D 3 D 4的。设其初态为1001,并假定Z =0为识别标志,试确定该检测器所能检测的二进制序列。

5.8用JK 触发器设计一串行序列检测器,当检测到110序列时,电路输出为1。 [解] (1) 画原始状态转换图 ① 确定原始状态数及其意义

输入序列X :0 1 1 0 0 输出相应Y :0 0 0 1 0 状 态:S 0 S 1 S 2 S 3 S 0 ② 画原始状态图如图解5.8(1)所示。

(2) 状态化简,简化状态图如图解5.8(2)所示。 (3) 状态编码,选择FF

取S 0=00,S 1=01,S 2=11(按相邻原则选择码组);选JKFF ,n =2。

(4) 列出状态转换表如表解5.8所示。 (5) 求状态方程和输出方程 作次态卡诺图如图解5.8(3)。

由次态卡诺图求得

+11101n n n n Q X Q Q XQ =+

图题5.7

图解 5.8(3)

+1000n n n Q X Q XQ =+ 1n Z XQ =

(6) 求驱动方程

对比状态方程与特性方程可得 10n J XQ =,1K X = 0J X =,0K X = (7) 画逻辑图

5.9分析图题5.9所示电路,说明当开关A 、B 、C 均断开时,电路的逻辑功能;当A 、B 、C 分别闭合时,电路为何种功能?

[解] (1) 当开关A 、B 、C 均断开时,由于非门输入端对地所接电阻R

(2) 当A 闭合时,由于D 3R Q =,因而当Q 3 =1,即计数器状态为1000时,复位到0,重新开始计数。故执行8进制加法计数器功能;同理,B ,C 分别闭合时电路为4进制和2进制加法计数器。

5.10 用JK 触发器设计图题5.10所示功能的逻辑电路。

[解] (1) 由图可知电路可按五状态时序电路设计。设状态分别为: S 0 = 000,S 1 = 001,S 2 = 010,S 3= 011,S 4 = 100。

(2) 根据状态分配的结果可以列出状态转换真值表如表解5.10。

图题

5.9

图题

5.10

图解5.8(4)

(3) 画次态卡诺图求状态方程和输出方程

12210n n n n Q Q Q Q +=,111010n n n n n Q Q Q Q Q +=+,1020n n n Q Q Q +=,2n

Z Q =

(4) 求驱动方程

将状态方程与JK 触发器的特性方程比较得

210n n

J Q Q =,21K =

10n J Q =,10n

K Q =

02n J Q =,01K = (5) 检查电路的自启动能力

由次态卡诺图可见,当电路进入无效状态时,其相应的状态转移为:101→ 010,110→ 010,111→ 000,因此,该电路能够自启动。

(6) 画电路图

根据驱动方程和输出方程画逻辑电路图如图解 5.10所示。 5.11 用JK 触发器设计图题5.11所示两相脉冲发生电路。

[解] 由图可见,电路的循环状态为00→ 10→ 11→ 01→ 00,因此可按同步计数器设计,用两个JK FF 实现。

(1) 作次态卡诺图求状态方程和输出方程 +111010n n n n n Q Q Q Q Q =+,+101010n n n n n Q Q Q Q Q =+

21n Z Q =,10n Z Q =

(2) 求驱动方程

将状态方程与JK 触发器的特性方程对比,

图题5.11

Z

图解 5.10

1

图解

5.11(2)

图解 5.11(1)

可得

10

n

J Q =,10n K Q = 01n J Q =,01n K Q =

(3) 画逻辑电路图

5.12一个同步时序电路如图题5.12所示。设触发器的初态Q 1 = Q 0 = 0。 (1) 画出Q 0 、Q 1和F 相对于CP 的波形; (2) 从F 与CP 的关系看,该电路实现何种功能?

[解] (1) 1)写方程式

① 驱动方程:0110 n n

D Q D Q ==

② 复位方程:D10R Q =

③ 输出方程:0n F CP Q =+

2)求状态方程

+1001n n Q D Q == +110D10 ()n n

Q Q R Q ==

3)求状态转换表,如表5.12所示。

4)画0Q 、1Q 和F 相对于CP 的波形,如图解5.12所示。

从F 与CP 的关系可以看出该电路实现三分频功能。

5. 13 用双向移位寄存器74194构成6位扭环计数器。

[解] 要构成6位扭环计数器,需两块74194级联,如图解5.13所示。

图题

5.12

图解 5.13

CP Q 0Q 1F

图解5.12

5.14 利用移位寄存器74194及必要的电路设计产生表题5.14所示脉冲序列的电路。

[解] (1) 作次态译码真值表

即按表题5.14给出的态序表,决定前一状态变化到后一状态时,移入的数据是0还是1以及是左移还是右移,按此设置D SR 及D SL 的状态和功能控制信号M 1、M 0 的状态。如表解5.14所示。

(2) 化简D SR 、D SL 、M 1、M 0

S R 1313n n n n D Q Q Q Q ==+;SL 1D =

10323032

n n n n n n n M Q Q Q Q Q Q Q =+= 01M M = (3) 画逻辑电路图

5.15 用74LS293及其它必要的电路组成六十进制计数器,画出电路连接图。

[解] 74LS293为异步2-8-16进制集成计数器,需要两片级联实现60进制计数器。 方法一:全局反馈清零

(1) N = 60,S n = [60]D =[00111100]B (2) 101025432F R R Q Q Q Q Q ==∏= (3) 画电路连接图

图解 5.14(2)

M

00011110×11

1

01××0

101

×

00

011110

××

×n

n

Q Q

n n

Q Q 图解5.14(1)

01234567

图解 5.15(1)

方法二:局部反馈清零 (1) 2160610N N N ==?=? 20110n S =,n11010S = (2) 12010221F R R Q Q Q ==∏=

1

101023

1F R R Q Q Q ==∏= (3) 画电路连接图

5.16 图题5.16为由74LS290构成的计数电路,分析它们各为几进制计数器。

[解] (1) CP → CP 1,仅Q 3Q 2Q 1作输出,反馈连线S n = 011,故为3进制计数器。 (2) CP → CP 1,S n = 100,故为4进制计数器。

(3) CP → CP 0,Q 0 → CP 1,Q 3Q 2Q 1Q 0输出均有效,S n = 1001,故为9进制计数器。 (4) CP → CP 0,Q 0 → CP 1,S n = 1000,故为8进制计数器。

5.16A (1) 试用计数器74LS161及必要的门电路实现13进制及100进制计数器; (2) 试用计数器74LS160实现(1)中的计数器。 [解] (1) ①用反馈清零法实现13进制计数器

13N = 1101n S =

1320F CR Q Q Q Q ==∏=

图题5.16

0123

4567

图解 5.15(2)

1图解 5.16A(1)

逻辑图见图解5.16A (1)。

②用全局反馈清零法实现100进制计数器

100N =

B []01100100n S N ==

1

652F CR Q Q Q Q ==∏=

逻辑图见图解5.16A (2)。 (2) ①13进制计数器

13N = 00010011n S =

1410F CR Q Q Q Q ==∏= 逻辑图见图解5.16A (3)。

②100进制计数器

因为74160是10进制计数器,所以无需反馈而自然实现100进制计数器。逻辑图见图

解 5.16A(4)。

5.17 用计数器74193构成8分频电路,在连线图中标出输出端。

[解] 74193为同步可逆16进制集成计数器。要得到8分频,只需从 Q 2输出即可。

5.18 计数器74LS293构成电路如图题5.18所示,试分析其逻辑功能。

图题

5.18

1

01234567

图解 5.16A(2)

1

01234567

图解5.16A(3)

7.13(g)

图解 5.16A(4)

CP

1图解 5.17

[解] 电路为全局反馈,且复位信号为异步操作。故可直接读反馈连线的反馈态:

7654321010001000n S Q Q Q Q Q Q Q Q ==。所以,电路为136进制计数器。

5.19 计数器74LS290构成电路如图题5.19所示,试分析该电路的逻辑功能。

[解] 由图可知,电路为全局反馈,根据反馈连接可得反馈态 6543210

1000010n S Q Q Q Q Q Q Q == 由于74290为十进制计数器,S n 应按8421 BCD 码考虑。所以,该电路为异步42进制BCD 码加法计数器。

5.20 计数器74161构成电路如图题5.20所示,试说明其逻辑功能。

[解] 由图可知,74161(1) 的CO 输出控制着74161(2) 的CT P 和CT T ,而74161(2) 的输出CO 又作为反馈控制预置信号,又CO = Q 3Q 2Q 1Q 0CT T ,因此,两片计数器的满状态和预置状态即为计数器的结束和初始状态。故

-10B B (1)(11111111)1(00111100)196

n N S S =+-=+-=

所以,该电路为同步196进制计数器。

5.21 试分析图题5.21所示用计数器74163构成电路的逻辑功能。

[解] 74163为同步式16进制集成加法计数器。电路为同步级联,通过CR 执行全局反馈清零,因74163的CR 为同步操作方式,直接读连线可得电路的S n-1状态,故:

图题

5.20

图题

5.19

图题5.21

11[0100100

0]173n B N S -=+=+= 所以,该电路为同步73进制加法计数器。

5.22 计数器74193构成电路如图题5.22所示,试分析该电路的逻辑功能。

[解] 74193为异步可逆16进制计数器。图中CP 送入CP D ,CP U = 1配合,又LD BO =,O 32101000S D D D D ==,可知电路在CP 脉冲作用下执行减法计数。经过8次脉冲将计数器中的预置数1000减到0000,BO 输出低电平,使0LD =,又立即置入1000态。因此,8个CP 脉冲一个计数循环。该电路为同步8进制减法计数器。

5.23指出图题5.23电路中W 、X 、Y 和Z 点的频率。

[解] (1) 10位环形计数器为10分频,所以W 16KHz f =; (2) 4位二进制计数器为为16分频,所以X 1KHz f =; (3) 模25行波计数器为25分频,所以Y 40Hz f =; (4) 4位扭环计数器为8分频,所以Z 5Hz f =。

5.24 设图5.5.4中各寄存器起始数据为[I]=1011,[II]=1000,[III]=0111,将图题5.24中的信号加在寄存器I 、II 、III 的使能输入端。试决定在t 1、t 2、t 3和t 4时刻,各寄存器的内容。

[解] t 1时刻,寄存器II 的数据1000送到总线,寄存器III 接收,[I]=1011,[II]=1000,[III]=1000;t 2时刻,寄存器III 的数据1000送到总线,无数据接收,各寄存器数据不变;t 3时刻,无数据传送,各寄存器数据不变;t 4时刻,寄存器I 的数据1011送到总线,寄存器II 、III 接收,[I]=1011,[II]= [III]=1011。

5.25时序电路如图题5.25所示,其中R A 、R B 和R S 均为8

位移位寄存器,其余电路分

图题

5.23

图题

5.24

图题5.22

别为全加器和D 触发器,要求:

(1) 说明电路的逻辑功能;

(2) 若电路工作前先清零,且两组数码A =10001000,B =00001110,8个CP 脉冲后,R A 、R B 和R S 中的内容为何?

(3) 再来8个CP 脉冲,R S 中的内容如何?

[解] (1) ① 可将电路划分为三个功能块

Ⅰ、Ⅲ中都是8位移位寄存器;Ⅱ中全加器和D 触发器。 ② 分析各功能块电路的逻辑功能

功能块Ⅰ:在移位脉冲CP 作用下逐位将A 、B 两组数据分别移入R A 、R B ,8个CP 脉冲过后,可将A 、B 两组8位二进制数据存入移位寄存器。

功能块Ⅱ:由移位寄存器R A 和R B 提供的加数和被加数的最低位先输入全加器的A i 和B i ,经过全加器相加后产生和输出S 0和进位输出C 0。来一个CP 脉冲后,一方面将R A 和R B 中的次低位数送入A i 和B i 输入,并将最低位相加之和移入R S 中,另一方面又将最低位相加产生的进位通过D FF 输入全加器的CI 端,和次低位加数被加数一起决定相加之和及进位输出,再来CP 时又重复前述过程。这样,经过8个CP 后,A 、B 两组数通过移位寄存器R A 、R B 逐位送入全加器相加。全加器和D 触发器实现两数串行加法运算。

功能块Ⅲ:移位寄存器R S 保存8位全加和。 ③ 分析总体逻辑功能

电路总体实现两组8位二进制数串行加法功能。

(2) 8个CP 脉冲过后,[R A ]=A =10001000,[R B ]=B =00001110,[R S ]=00000000。 (3) [R S]=A +B =10010110

5.26 图题5.26中,74154是4-16线译码器。试画出CP 及S 0、S 1、S 2、S 3、S 4、S 5、S 6和S 7各输出端的波形图。

图题5.25

[解] 由图可见,74194构成扭环形计数器,CP 到来前先清零。因此,74194从0000开始,在1001M M =方式控制信号及CP 脉冲作用下,执行右移操作,由于SR 3D Q =,可得计数态序表如表解5.26所示;74194输出作为4/16线译码器的输出,译码器输出低有效,经非门后S 0~ S 7高有效,波形图见图解5.26所示。

5.27 试用计数器74290设计一个5421编码的六进制计数器。

[解] 当74290的CP 1接CP 脉冲,而将CP 0接Q 2时,电路执行5421 BCD 码。5421编码如表解7.23所示。

具体设计如下 (1)6N =,1001n S = (2)010230F R R Q Q ==

(3) 画逻辑图如图解5.27所示。

图题5.26

CP 12345678910

S 0S 1S 2S 3S

4S 5S 6S 7

图解5.26

图解 5.27

5.28电路如图题5.28所示 (1)画出电路的状态图; (2)说明电路的逻辑功能。

[解] (1) 由图可见,当计数器状态为0101时,

0102201R R Q Q ==,复位条件满足,计数器复位到

0000,完成一次计数循环。状态转换图见图解5.28。

(2) 由状态图可见,该电路为异步五进制加法计数器。

5.29 电路如图题5.29所示,要求

(1) 列出电路的状态迁移关系(设初始状态为0110); (2) 写出F 的输出序列。

[解] (1) 电路由移位寄存器74194和多选一MUX 构成。由于74194中右移数据输入

SR 3D Q =,且工作方式控制信号1001M M =,构成了环形计数器;而8选1MUX 的地址输

入210210A A A Q Q Q =,7521D D D ===,4300D D D ===,613D D Q ==,因此,根据74194的输出态序和MUX 的选择功能就能得出F 的输出序列。电路的状态迁移关系见表解5.29所示。

(2) 由表可见,F 的输出序列为0010。

5.30 图题5.30所示为某非接触式转速表的逻辑框图,其由A~H 八部分构成。转动体每转动一周,传感器发出一信号如图题5.30中所示。

(1) 根据输入输出波形图,说明B 框中应为何种电路? (2) 试用集成定时器(可附加JKFF)设计C 框中电路;

(3) 若已知测速范围为0~9999,E 、G 框中各需集成器件若干?

图题

5.28

图题5.29

图解5.28

(4) E框中的计数器应为何种进制的计数器?试设计之?

(5) 若G框中采用74LS47,H框中应为共阴还是共阳显示器?当译码器输入代码为0110和1001时,显示的字形为何?

图题5.30

[解] (1) 图中输入为缓变信号,输出为矩形波,所以,B框中应为施密特触发器。

(2) 略

(3) E,G框中各需集成器件4块;

(4) 因后续电路H中的显示部分为人们能直接读取的十进制0~9,译码部分必为BCD 七段显示译码器,要求E框中的计数器应为10进制计数器。

具体设计可采用任一种集成计数器,直接选用10进制集成计数器实现时,电路最简单。此处采用74160实现。逻辑图如图解5.30所示。

(5) 因7447为输出低有效的译码器,所以,H框中应为共阳显示器,当译码器输入代码

为0110和1001时,显示字形分别为6和9。

数电实验2

深圳大学实验报告 课程名称:数字电子技术 实验项目名称:TTL、HC和HCT器件的参数测试学院:光电工程 专业:光电信息 指导教师: 报告人:刘恩源学号:2012170042 班级:2 实验时间: 实验报告提交时间:

一、实验目的与要求: 1、掌握TTL、HCT和HCT器件的传输特性。 2、熟悉万用表的使用方法。 二、实验仪器: 1、六反相器74LS04 1片 2、六反相器74HC04 1片 3、六反相器74HCT04 1片 4、万用表 三、实验原理: 非门的输出电压V O与输入电压V I的关系V O=f(V I)叫做电压传输特性,也叫做电压转移特性。它可以用一条曲线表示,叫做电压传输特性曲线。从传输特性曲线可以求出非门的下列参数: 1、输出高电平(V OH)。 2、输出低电平(V OL)。 3、输入高电平(V IH)。 4、输入低电平(V IL)。 5、门槛电平(V T)。 四、实验内容与步骤: 1、测试TTL器件74LS04一个非门的传输特性。 2、测试HC器件74HC04一个非门的传输特性。 3、测试HCT器件74HC04一个非门的传输特性。 注意:1、注意被测器件的引脚7和引脚14分别接地和接+5V。 2、将实验箱上直流信号源的输出端作为被测非门的输入电压。旋转电位器改变非门的 输入电压值。 1、3、按步长0.2V调整率改变非门的输入电压。首先用万用表监视非门输入电压,调 好输入电压后,再用万用表测试测量非门的输出电压,并记录下来。实验接线图由于74LS04、74HC04和74HCT04的逻辑功能相同,因此三个实验的接线图是一样的。 下面以第一个逻辑门为例,画出实验接线图(V I表示非门输入电压,电压表表示电压测试点)如下:

数字电路与逻辑设计习题7第七章半导体存储器(精)

第七章半导体存储器 一、选择题 1.一个容量为1K ×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8192 2.要构成容量为4K ×8的R AM ,需要片容量为256×4的R AM 。 A.2 B.4 C.8 D. 32 3.寻址容量为16K ×8的RAM 需要根地址线。 A.4 B. 8 C.14 D. 16 E.16K 4.若R AM 的地址码有8位,行、列地址译码器的输入端都为4个,则它们的 输出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 5.某存储器具有8根地址线和8根双向数据线,则该存储器的容量为。 A.8×3 B.8K ×8 C. 256×8 D. 256×256 6. 采用对称双地址结构寻址的1024×1的存储矩阵有。 A.10行10列 B.5行5列 C.32行32列 D. 1024行1024列 7.随机存取存储器具有功能。 A. 读/写 B. 无读/写 C. 只读 D. 只写 8.欲将容量为128×1的R AM 扩展为1024×8,则需要控制各片选端的辅助译

码器的输出端数为。 A.1 B.2 C.3 D. 8 9.欲将容量为256×1的R AM 扩展为1024×8,则需要控制各片选端的辅助译 码器的输入端数为。 A.4 B.2 C.3 D. 8 10.只读存储器ROM 在运行时具有功能。 A. 读/无写 B. 无读/写 C. 读/写 D. 无读/无写 11.只读存储器R OM 中的内容,当电源断掉后又接通,存储器中的内容。 A. 全部改变 B. 全部为0 C. 不可预料 D. 保持不变 12.随机存取存储器RAM 中的内容,当电源断掉后又接通,存储器中的内容。 A. 全部改变 B. 全部为1 C. 不确定 D. 保持不变 13.一个容量为512×1的静态RAM 具有。 A. 地址线9根,数据线1根 B. 地址线1根,数据线9根 C. 地址线512根,数据线9根 D. 地址线9根,数据线512根 14.用若干R AM 实现位扩展时,其方法是将相应地并联在一起。 A. 地址线 B. 数据线 C. 片选信号线 D. 读/写线 15.PROM 的与陈列(地址译码器)是。 A. 全译码可编程阵列 B. 全译码不可编程阵列

数电题库(自我整理)

十四、综合练习(设计题,易,10分) [题目] 在三个输入信号中,A的优先权最高,B次之,C最低,、它们的输出分别为,Y A、Y B、Y C,要求同一时间内只有一个信号输出。如有两个及两个以上的信号同时输入时,则只有优先权最高的有输出,试设计一个能实现此要求的逻辑电路。 [参考答案] (1)列真值表: 对于输入,设有信号为逻辑“1”;没信号为逻辑“0”。 对于输出,设允许通过为逻辑“1”;不设允许通过为逻辑“0”。 (2)由真值表写出各输出的逻辑表达式

八、数模与模数转换器(单项选择题,易,1分) [题目] 下列A/D转换器速度最慢的是()。 A.逐次逼近型A/D转换器B.双积分型A/D转换器C.并行比较型A/D转换器 [参考答案] B 九脉冲产生与整形电路(填空题,易,1分) [题目] 数字电路按照是否有记忆功能通常可分为两类:()、()。 [参考答案] 组合逻辑电路时序逻辑电路 七、脉冲产生与整形电路(判断题,易,1分) [题目] 施密特触发器有两个稳态。() [参考答案] 对 六、时序逻辑电路(填空题,易,1.5分) [题目] 消除竟争冒险的方法有()、()、()等。 [参考答案] 修改逻辑设计接入滤波电容加选通脉冲 二、逻辑代数基础(解答题,易,30分) [题目] 用卡诺图化简下列函数,并写出最简与或表达式 (1)F(A.B.C.D)= + (2)F(A.B.C)=AC+ (3)F(A.B.C.D)= (0,2,3,7) (4)F(A.B.C.D)= (1,2,4,6,10,12,13,14)

(5)F(A.B.C.D)= (0,1,4,5,6,7,9,10,13,14,15) (6)F(A.B.C.D)= (0,2,4,7,8,10,12,13) (7)F(A.B.C.D)= (1,3,4,7,13,14)+ (2,5,12,15) (8)F(A.B.C.D)= (0,1,12,13,14)+ (6,7,15) (9)F(A.B.C.D)= (0,1,4,7,9,10,13)+ (2,5,8,12,15) (10)F(A.B.C.D)= (0,2,7,13,15)且 [参考答案] 卡诺图如下

数电试题库(新)

第一、二章数制转换及逻辑代数 一、完成下列数制转换 (11001)2=()10;(32)10=()2;(110101.01)2=()10 (132.6)10=()8421BCD; 二、试分别用反演规则和对偶规则写出下列逻辑函数的反函数式和对偶式。 1、Y=错误!未找到引用源。+CD 2、Y=错误!未找到引用源。C 3、Y=错误!未找到引用源。D 4、Y= A错误!未找到引用源。B 5、Y=A+错误!未找到引用源。 6、Y=ABC+错误!未找到引用源。错误!未找到引用源。 三、用公式法化简为最简与或式: 1、Y=错误!未找到引用源。C+错误!未找到引用源。A 2、Y=错误!未找到引用源。错误!未找到引用源。C+错误!未找到引用源。BC+A 错误!未找到引用源。C+ABC 3、Y=错误!未找到引用源。(A+B) 4、Y=A错误!未找到引用源。(C+D)+D+错误!未找到引用源。 5、C B C B B A B A Y+ + + = 四、证明利用公式法证明下列等式 1、错误!未找到引用源。错误!未找到引用源。+错误!未找到引用源。错误!未找到引用源。+BC+错误!未找到引用源。错误!未找到引用源。错误!未找到引用源。=错误!未找到引用源。+ BC 2、AB+BCD+错误!未找到引用源。C+错误!未找到引用源。C=AB+C 3、A错误!未找到引用源。+BD+CBE+错误!未找到引用源。A错误!未找到引用源。+D 4、AB+错误!未找到引用源。错误!未找到引用源。+ A错误!未找到引用源。+错误!未找到引用源。B=错误!未找到引用源。) 5、AB(C+D)+D+错误!未找到引用源。(A+B)(错误!未找到引用源。+错误!未找到引用源。)=A+B错误!未找到引用源。+D 五、用卡诺图化简函数为最简与-或表达式 1、Y(A,B,C,D)=错误!未找到引用源。B+错误!未找到引用源。C+错误!未找到引用源。错误!未找到引用源。+AD 2、Y(A,B,C,D)=错误!未找到引用源。错误!未找到引用源。C+AD+错误!未找到引用源。(B+C)+A错误!未找到引用源。+错误!未找到引用源。错误!未找到引用源。 3、Y(A,B,C,D)=错误!未找到引用源。 4、Y(A,B,C,D)=错误!未找到引用源。 六、选择题 1. 在N进制中,字符N的取值范围为:() A.0 ~ N B.1 ~ N C.1 ~ N -1 D.0 ~ N-1 3. 二进制数1110111.11转换成十进制数是() A.119. 125 B.119. 3 C.119 . 375 D.119.75 4、数字信号的特点是() A.在时间上和幅值上都是连续的。B.在时间上是离散的,在幅值上是连续的。 C.在时间上是连续的,在幅值上是离散的。D.在时间上和幅值上都是不连续的。 5、下列各门电路符号中,不属于基本门电路的是()

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

可编程逻辑器件数字电子技术第章存储器与可编程逻辑器件习题及答案

可编程逻辑器件数字电子技术第章存储器与可编程逻辑器件习题及答 案

第8章 存储器与可编程逻辑器件 8.1存储器概述 自测练习 1.存储器中可以保存的最小数据单位是()。 2.(a)位(b)字节(c)字 3.指出下列存储器各有多少个基本存储单元?多少存储单元?多少字?字长多少? (a)2K×8位()()()() (b)256×2位()()()() (c)1M×4位()()()() 3.ROM是()存储器。 (a)非易失性(b)易失性 (c)读/写(d)以字节组织的 4.数据通过()存储在存储器中。 (a)读操作(b)启动操作 (c)写操作(d)寻址操作 5.RAM给定地址中存储的数据在()情况下会丢失。 (a)电源关闭(b)数据从该地址读出 2

(c)在该地址写入数据(d)答案(a)和(c) 6.具有256个地址的存储器有()地址线。 (a)256条(b)6条(c)8条(d)16条 7.可以存储256字节数据的存储容量是()。 (a)256×1位(b)256×8位 (c)1K×4位(d)2K×1位 答案: 1.a 2.(a)2048×8;2048;2048;8 (b)512;256;256;2 (c)1024×1024×4;1024×1024;1024×1024;4 3.a 4.c 5.d 6.c 7.b 8.2随机存取存储器(RAM) 自测练习 1.动态存储器(DRAM)存储单元是利用()存储信息的,静态存储器(SRAM)存 储单元是利用()存储信息的。

2.为了不丢失信息,DRAM必须定期进行()操作。 3.半导体存储器按读、写功能可分成()和()两大类。 4.RAM电路通常由()、()和()三部分组成。 5.6116RAM有()根地址线,()根数据线,其存储容量为()位。 答案: 1.栅极电容,触发器 2.刷新 3.只读存储器,读/写存储器 4.地址译码,存储矩阵,读/写控制电路 5.11,8,2K×8位 8.3只读存储器(ROM) 自测练习 1.ROM可分为()、()、()和()几种类型。 2.ROM只读存储器的电路结构中包含()、()和()共三个组成部分。 3.若将存储器的地址输入作为(),将数据输出作为(),则存储器可实现组合逻辑电路的功能。 4.掩膜ROM可实现的逻辑函数表达式形式是()。 5.28256型EEPROM有()根地址线,()根数据线,其存储容量为()位,是以字节数据存储信息的。 6.EPROM是利用()擦除数据的,EEPROM是利用()擦除数据的。 4

数电填空题

1.二进制数(1011.1001)2转换为八进制数为 13.41 ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类:组合逻辑电路、时序逻辑电路。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 A B A B ,或与非表达式 为 ()()A B A B ++ 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = 8 V ,下触发电平U T –= 4 V 。 6.逻辑函数的两种标准形式分别为 7.将2004个“1”异或起来得到的结果是 0 8.半导体存储器的结构主要包含三个部分,分别是地址译码器、存储矩阵、输出缓冲器 9.8位D/A 转换器当输入数字量10000000为5v 。若只有最低位为高电平,则输出电压为( 0.039 )v ;当输入为10001000,则输出电压为( 5.31 )v 。 10.就逐次逼近型和双积分型两种A/D 转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换速度快。 11.由555定时器构成的三种电路中,(施密特触发器)和(单稳态触发器)是脉冲的整形电路。 12.与PAL 相比,GAL 器件有可编程的输出结构,它是通过对(结构控制字)进行编程设定其(输出逻辑宏单元)的工作模式来实现的,而且由于采用了(E 2CMOS )的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 13.逻辑函数有四种表示方法,它们分别是真值表、逻辑图、逻辑表达式、卡诺图。 14.将2004个“1”异或起来得到的结果是 0 。 15.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 16.施密特触发器有(两)个稳定状态.,多谐振荡器有(0)个稳定状态。 17.已知Intel2114是1K* 4位的RAM 集成电路芯片,它有地址线(10)条,数据线(4)条。 Y AB C =+)6,4,0()(,)7,5,3,2,1()(=∏===∑i M ABC Y i m ABC Y i i

2014年杭州电子科技大学数字电路复习大纲

杭州电子科技大学数字电路课程期末复习提纲 考试时间:6月17日9:00~11:00 题型:填空题(2分×10=20分);选择题(2分×10=20分);简答题(6分×5分=30分); 综合设计题(10分×3分=30分) 注意:数字电路期末复习提纲仅供参考用 一、数字逻辑基础 1.脉冲波形的基本参数,如f(T)、t W、占空比等的基本概念等 2.数制之间的相互转换。 3.二进制的基本概念:如那些是有权码(2421码等)、无权码(余3码、格雷码)等二、逻辑门电路 1.基本逻辑运算与逻辑符号。 2.门电路的描述:表达式、真值表、逻辑符号 3.门电路的正负逻辑概念及等效变换。 4.辅助门电路的工作特点:如OC门和三态门 三、组合逻辑电路的分析与设计 1.逻辑代数的基本定律和恒等式、基本法则,对偶、反演等。 2.逻辑函数的卡诺图化简 四、组合逻辑电路的分析与设计 1.组合逻辑电路的分析与设计 2.组合逻辑电路的竞争与冒险,掌握消除竞争冒险的基本方法,抗干扰措施 3.重点掌握74LS138译码器、74LS151/74LS153数据选择器的功能及应用。能分析电路并设计电路(实现函数发生器)。 五、触发器 1.掌握基本RS触发器的简单电路 2.触发器的类型及特点,重点掌握边沿触发器(D-FF/JK-FF)的功能,能分析时序图六、时序逻辑电路的分析和设计 1.时序逻辑电路的基本概念:特点、分类、描述方法(逻辑方程式、状态转换表、状态转换图/时序图)等 2.掌握时序逻辑电路的分析和设计。 七、常用时序逻辑功能器件 1.掌握74LS161、74LS160基本功能,并能用以设计构成任意进制的计数器、分频器。 2.掌握74LS194的功能 八、半导体存储器和可编程逻辑器件 1.RAM的基本结构、RAM的特点及其扩展(字、位) 2.ROM的种类及其特点,及其扩展。能用PROM实现函数发生器 3.了解可编程逻辑器件PLD的类型及编程阵列的特点。了解GAL的工作模式(P124)。 九、A/D、D/A 1.D/A转换器的特点、类型、主要技术指标:转换精度、转换速度、温度系数 2.A/D转换器的特点、类型及特点,技术指标

微机原理 存储器练习题(优选.)

1、现有EPROM芯片2732(4KX8位),以及3-8译码器74LS138,各种门电路若干,要求在8088CPU上扩展容量为16KX8 EPROM内存,要求采用部分译码, 不使用高位地址线A 19、A 18 、A 15 ,选取其中连续、好用又不冲突的一组地址,要 求首地址为20000H。请回答: 1)2732的芯片地址线、数据线位数是多少?(2分)2)组成16KX8需要2732芯片多少片?(1分) 3)写出各芯片的地址范围。(4分)

1)地址线12根,数据线8根; 2)4片; 3)1# 20000H~20FFFH 2# 21000H~21FFFH 3# 22000H~22FFFH 4# 23000H~23FFFH 2、有一个2732EPROM(4KX8)芯片的译码电路如下图所示,试求: ①计算2732芯片的存储容量; ②给出2732芯片的地址范围; ③是否存在地址重叠区? ① 4KB ②08000H---09FFFH ③存在重叠区08000H---08FFFH 09000H---09FFFH 3、某CPU有地址线16根(A0~A15),数据线8根(D0~D7)及控制信号RD、WR、MERQ(存储器选通)、IORQ(接口选通)。如图所示,利用RAM芯片2114(1KX4)扩展成2KX8的内存,请写出芯片组1和芯片组2的地址范围。

1 G MERQ 11A 12A 13 A 14A 15A & A G 2 B G 21 Y C 13874LS B 0 Y 1#2114 CS 2#2114 CS 3#2114 CS 4#2114 CS 第1组 第2组 WR RD 47~D D 0 9~A A 03~D D A 10 A 答:第1组:C000H~C3FFH 第2组:C400H~C7FFH

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

最新数电试题库试卷1

1.将二进制数化为等值的十进制和十六进制: (1100101)2=( 101 )10 =( 65 )16 2.写出下列二进制数的原码和补码: (-1011)2=( 11011 )原=( 10101 )补 Y的电平依次为3.输出低电平有效的3线– 8线译码器的输入为110时,其8个输出端0 7~Y 10111111 。 *; 4.写出J、K触发器的特性方程:Q Q+ = Q K J 5. TTL集电极开路门必须外接__上拉电阻______才能正常工作。 1.余3码10001000对应的8421码为(A )。 A.01010101 B.10000101 C.10111011 D.11101011 2.使逻辑函数) B A B =为0的逻辑变量组合为( D ) C + + F+ (C A ' ' )( ' ' )( A. ABC=000 B. ABC=010 C. ABC=011 D. ABC=110 3.标准或-与式是由( C )构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 4. 由或非门构成的基本R、S触发器,则其输入端R、S应满足的约束条件为(B)。 A. R+S=0B. RS=0C. R+S=1D.RS=1 5.一个8选一数据选择器的地址输入端有(C )个。 A.1 B.2 C.3 D.8 6.RAM的地址线为16条,字长为32,则此RAM的容量为( D )。 A.16×32 位 B. 16K×32位 C. 32K×32位 D.64K×32位 7.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为(D )。 A.JK=00 B. JK=01 C. JK=10 D. JK=11 8. 用8个触发器可以记忆( D )种不同状态. A.8 B.16 C.128 D.256 9. 多谐振荡器可以产生下列哪种波形( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 10.输出在每个时钟周期翻转一次的触发器是( A )。

杭州电子科技大学数字电路期末考试试卷及答案

8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz C . 100KHz D .50KHz 13.给36个字符编码,至少需要____6______位二进制数。 19.T 触发器的特性方程是___n n Q T Q ⊕=+1_____,当T=1时,特性方程为___n n Q Q =+1_____,这时触发器可以用来作___2分频器_____。 20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。 21.(本题满分6分)用卡诺图化简下列逻辑函数 ∑ =)15,14,13,12,10,9,8,2,1,0(),,,(m D C B A F 解:画出逻辑函数F 的卡诺图。得到 D B D A C B C A AB F ++++= 22. (本题满分8分)电路如图所示,D 触发器是正边沿触发器,图中给出了时钟CP 及输入K 的波形。 (1)试写出电路次态输出1+n Q 逻辑表达式。(2)画出Q Q ,的波形。

由出真值表写出逻辑函数表达式,并化简 )(B A C C A C B A BC A C B A C B A F ⊕+=++ += 画出逻辑电路图 四、综合应用题(每小题10分,共20分) 25.3-8译码器74LS138逻辑符号如图所示,S1、2S 、3S 为使能控制端。试用两片74LS138构成一个4-16译码器。要求画出连接图说明设计方案。 装 订

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

数电实验课程总结报告

数电实验课程总结报告 不知不觉,一个学期已经过去,数电实验这门课也即将结束。回顾这个学期以来在数电实验课程中的学习,我发现自己既收获了很多,也付出了很多。 数电实验是一门结合理论并有所创新的课程。实验一——数字集成电路功能与特性测试让我熟悉了几个常用芯片74LS247、74LS163与74LS00。一方面数电理论课正好进行到这部分的内容,这次实验的学习让我更好的理解理论课的知识。另一方面,在接下来的实验三中,我需要用到其中的芯片与显示电路,这为接下来的实验做好了铺垫。实验二开始我们就与FPGA接触了。作为一个电子信息工程专业的学生,今后的研究与学习肯定会需要使用到FPGA,所以实验二与实验三的实际应用意义是很大的。 经过简单的熟悉QuartusII软件后,我们开始了最为重要的实验三——多功能数字钟的设计。可以说,实验三是本课程的核心所在。实验三耗时一个多月,我们经历了一个完整的开发周期。从数字钟功能设想到方案论证,再到软件编写与硬件焊接,再到最后的整机测试。我投入了大量的时间与精力,最后做出了集闹钟、报时、校时、秒表、倒计时、日期显示、12——24小时制转换等功能的多功能数字钟。在数字钟设计的过程中,我遇到了很多的问题。一开始我是用的是纯VHDL语言编写的方案开发数字钟,可是随着功能逐渐增多,我发现语言编写并不能很容易的加减功能。而且一旦在仿真中发现问题,我很难从源文件中查找出问题所在。于是在离验收日期只有一个星期的时候,我毅然选择了推到重来,放弃已有的程序,重新使用顶层原理图加底层VHDL语言的方案开发。后来的结果证明,这种方案不仅思路清晰,易于增减功能、检查错误,也能在一定程度上节约内部资源。最后,我花了4个晚上重新编写好软件程序,花了一个晚上焊接硬件并组装调试。这次成功的经验大大提升了我的信心,也让我懂得了敢于放弃,不怕重来的道理。 总的来说,本次数电实验课程让我收获很多。我会在今后的学习中更加努力。 最后,感谢老师一个学期以来的教导,祝老师身体健康,万事如意!

5大规模数字集成电路习题解答

自我检测题 1.在存储器结构中,什么是“字”什么是“字长”,如何表示存储器的容量 解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。 2.试述RAM和ROM的区别。 解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。 ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入, 3.试述SRAM和DRAM的区别。 解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写入就能够稳定地保持下去。动态存储器则是以电容为存储单元,利用对电容器的充放电来存储信息,例如电容器含有电荷表示状态1,无电荷表示状态0。根据DRAM的机理,电容内部的电荷需要维持在一定的水平才能保证内部信息的正确性。因此,DRAM在使用时需要定时地进行信息刷新,不允许由于电容漏电导致数据信息逐渐减弱或消失。 4.与SRAM相比,闪烁存储器有何主要优点 解:容量大,掉电后数据不会丢失。 5.用ROM实现两个4位二进制数相乘,试问:该ROM需要有多少根地址线多少根数据线其存储容量为多少 解:8根地址线,8根数据线。其容量为256×8。 6.简答以下问题: (1)CPLD和FPGA有什么不同 FPGA可以达到比 CPLD更高的集成度,同时也具有更复杂的布线结构和逻辑实现。FPGA 更适合于触发器丰富的结构,而 CPLD更适合于触发器有限而积项丰富的结构。 在编程上 FPGA比 CPLD具有更大的灵活性;CPLD功耗要比 FPGA大;且集成度越高越明显;CPLD比 FPGA有较高的速度和较大的时间可预测性,产品可以给出引脚到引脚的最大延迟时间。CPLD的编程工艺采用 E2 CPLD的编程工艺,无需外部存储器芯片,使用简单,保密性好。而基于 SRAM编程的FPGA,其编程信息需存放在外部存储器上,需外部存储器芯片 ,且使用方法复杂,保密性差。 (2)写出三家CPLD/FPGA生产商名字。 Altera,lattice,xilinx,actel 7.真值表如表所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。 表

(完整版)数电题库填空题整理复习

考点 一 进制转换 1、(11101001)2=( 233 )10=( E9 )16 2、二进制码11100001表示的十进制数为 ( 225 ) ,相应的8421BCD 码为 (001000100101 )。 3.(406)10= ( 010*********)8421BCD 十进制数(75)10的8421BCD 编码是 01110101 。 4.(00101101)2 = ( 45 )10 = ( 01000101 )8421BCD 。 5、(1001.0110)B=( 9.6 )H 6.(01101001)2=( 105 )10=( 69 )16 7、十六进制数(7E.5C )16等值的二进制数为(01111110.01011100)2,等值的八 进制数为(176.270)8 8(37)10=(100101)2=( 25 )16 9.(B4)16 ,(178)10, (10110000)2中最大数为(B4)16,最小数为_(10110000)2 10将十进制数287转换成二进制数是100011111;十六进制数是11F 。、 11位十六进制数转化为二进制数有_20_位 12十进制数238转换成二进制数是_11101110_;十六进制数是_ EE _。 13.(33)10=( 21 )16=( 100001 )2 14. 将十进制数45转换成十六进制为 (2D)16 。 15二进制数A=1011010,B=10111,则A-B= 1000011 。 16十进制数228转换成二进制数是_(11100100)2_;十六进制数是_(E4)16 _. 考点2 触发器的种类及特征方程 重点 1.根据触发器功能的不同,可将触发器分成四种,分别是 RS 触发器、 JK 触发器、 T 触发器和 D 触发器。对于上升沿触发的D 触发器,它的 次态仅取决于CP__上升_沿到达时___D___的状态。 2、D 触发器的特征方程为( n n D Q =+1 ) ,JK 触发器的特征方程为 (n n n Q K Q J Q +=+1 ),T 触发器的特征方程为 RS 触发器的特性方程为Q n+1=n Q R S R S ?+;约束方程为_RS=0__。 若将D 触发器转换成T 触发器,则应令D= T Q n ⊕ 3、对边沿JK 触发器,若现态为0时,若要次态为1有K= 0 ,J= 1和 K= 1 ,J= 1 两种方法。 3.对边沿JK 触发器,若现态为1时,若要次态为1有K= 0 ,J= 1 和K= 0 ,

数电实验报告

学生实验实习报告册 学年学期:2019 -2020 学年?春?秋学期 课程名称:数字电路与逻辑设计实验A 实验项目:基于FPGA的数字电子钟的设计与实现 姓名:康勇 学号:2018211580 学院和专业:计算机科学学院计算机科学与技术专业 班级:04911801 指导教师:罗一静 重庆邮电大学教务处制

1.系统顶层模块设计 本项目分为四个模块,分别为分频模块、计时模块、数码管动态显示模块、按键消抖模块。功能包括:基本时钟功能,整点报时功能,手动校时功能,秒表功能,小数点分割时分秒功能等。 设计思路如下: 图表 1数字时钟系统顶层模块设计思路 设计结果: 图表2数字时钟系统顶层模块设计电路图 2.分频模块电路设计及仿真 (1)模块功能

将输入的频率为50MHz的时钟信号利用74390通过2、5、100分频,对输入信号进行逐级分频。 (2)设计思路 图表3分频模块电路设计思路 (3)设计结果(电路) 图表4分频模块电路设计图 图表5模100电路图 (4)测试结果 图表6模100仿真图 图表7模5仿真图

图表8模2仿真图 3.计时模块设计及仿真 本模块主要功能是实现电子时钟计数功能。 图表9计时模块顶层设计电路图 3.1分、秒计时模块(模60计数) (1)模块功能(计数、进位) 电子时钟的分钟位和秒钟位均采用模60计数; 计数功能:从0到59; 进位功能:当计数记到59的时候,输出一个进位信号。 (2)设计思路 模60计数器可以通过一个模6计数器和一个模10计数器组成,由分钟位和秒位的特性可知,可以用模10计数器为个位,模6计数器为十位。当个位到9后,向十位进一。本模块使用器件74160。 计数功能:74160是十进制同步计数器(异步清零),为实现计数功能,首先将74160的LDN 反,CLRN反,ENT,ENP接高位,再接入时钟脉冲信号CLK,即可完成下图左侧(个位)模

第3章习题

1 EEPROM是指(D )。 A 读写存储器 B 只读存储器 C 闪速存储器 D 电擦除可编程只读存储器 2 常用的虚拟存储系统由( B )两级存储器组成,其中辅存是大容量的磁表面存储器。 A cache-主存 B 主存-辅存 C cache-辅存 D 通用寄存器 -cache 3 某计算机字长32位,其存储容量为256MB,若按单字编址,它的寻址范围是( D )。 A 64M B B 32MB C 32M D 64M 4 主存贮器和CPU之间增加cache的目的是( A )。 A 解决CPU和主存之间的速度匹配问题 B 扩大主存贮器容量 C 扩大CPU中通用寄存器的数量 D 既扩大主存贮器容量,又扩大CPU中通用寄存器的数量 5 某DRAM芯片,其存储容量为512K×8位,该芯片的地址线和数据线的数目是( D )。512 * 1024 B A 8,512 B 512,8 C 18,8 D 19,8 6 交叉存储器实质上是一种多模块存储器,它用( A )方式执行多个独立的读写操作。 A 流水 B 资源重复 C 顺序 D 资源共享 7某微型计算机系统,其操作系统保存在硬磁盘上,其内存储器应该采用(C ) A RAM B ROM C RAM和ROM D CCD 8 某SRAM芯片,其容量为1M×8位,除电源和接地端外,控制端有E和R/W#,该芯片的管脚引出线数目是( D )。 A 20 B 28 C 30 D 32 9 双端口存储器所以能进行高速读/写操作,是因为采用( D )。 A 高速芯片 B 新型器件 C 流水技术 D 两套相互独立的读写电路 10 存储单元是指(B )。 A 存放1个二进制信息位的存储元 B 存放1个机器字的所有存储元集合 C 存放1个字节的所有存储元集合 D 存放2个字节的所有存储元集合

数电习题及答案

一、时序逻辑电路与组合逻辑电路不同,其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电 路 两大类。 四、试分析图T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的 状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 10011 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程:10Y Q Q = 状态图:功能:同步三进制计数器 五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D 触发器,用状态000到100构成五进制计数器。 (1)状态转换图

(2)状态真值表 (3)求状态方程 (4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。

解:触发器的驱动方程 20010210 102 11J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程 120 01 1010112210 n n n Q Q Q Q Q Q Q Q Q Q Q Q +++==+=??????? 输出方程 2Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 01J X Q =⊕01 K =10 J X Q =⊕11 K =

数电课程设计实验报告

苏州科技大学天平学院 数字电子技术课程设计报告 课设名称篮球竞赛24秒计时器设计 班级通信1722 学生姓名XXX 学号XXX 设计日期2019年4月8日—4月22日指导教师XXX

一、设计题目 篮球竞赛24秒计时器设计 二、主要内容 设计要求: 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,发光二极管亮灯。 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。

目录 前言 (4) 1、总体设计思路、基本原理和框图 (5) 1.1设计思路 (5) 1.2 设计原理和功能 (6) 1.2.1 基本功能 (6) 1.3总体设计框图 (7) 2、单元电路设计 (8) 2.1 各芯片的用法和功能 (8) 2.1.1 74LS00 (8) 2.1.2 555定时器 (8) 2.1.3 74LS192 (10) 2.2单元模块 (10) 2.2.1 秒脉冲发生模块 (10) 2.2.2 倒计时模块 (11) 2.2.3 控制电路模块 (12) 2.2.4 报警提示模块 (13)

5半导体存储器习题解答

5 大规模数字集成电路习题解答99 自我检测题 1.一个ROM 共有10根地址线,8根位线(数据输出线),则其存储容量为。 A.10×8 B.102×8 C.10×82D.210×8 2.为了构成4096×8的RAM,需要片1024×2的RAM。 A.8片B.16片C.2片D.4片 3.哪种器件中存储的信息在掉电以后即丢失? A.SRAM B.UVEPROM C.E2PROM D.PAL 4.关于半导体存储器的描述,下列哪种说法是错误的。 A.RAM读写方便,但一旦掉电,所存储的内容就会全部丢失 B.ROM掉电以后数据不会丢失 C.RAM可分为静态RAM和动态RAM D.动态RAM不必定时刷新 5.有一存储系统,容量为256K×32。设存储器的起始地址全为0,则最高地址的十六进制地址码为3FFFFH 。 6.真值表如表T5.6所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。 表T5.6 习题 1.在存储器结构中,什么是“字”?什么是“字长”,如何表示存储器的容量? 解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。 2.试述RAM和ROM的区别。 解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。 ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入, 3.试述SRAM和DRAM的区别。 解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写

相关文档
最新文档