【04】MCU驱动CPLD的PWM正弦信号发生器

【04】MCU驱动CPLD的PWM正弦信号发生器
【04】MCU驱动CPLD的PWM正弦信号发生器

MCU驱动CPLD的PWM正弦信号发生器

田开坤

(湖北师范学院电工电子实验教学中心,湖北黄石435002)

1 引言

前面几期连续给读者介绍了MCU+CPLD系统设计,本篇继续挖掘CPLD潜力,给出一种MCU驱动CPLD的PWM正弦信号发生器设计,充分体现了CPLD的灵活多变,配合MCU控制,其妙无穷,以下方案均在Mini51板上实现。

脉宽调制PWM(Pulse Width Modulation)是利用数字输出信号对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。

2 PWM原理

PWM是一种对模拟信号电平进行数字编码的方法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。PWM信号仍然是数字的,因为在给定的任何时刻,满幅值的直流供电要么完全有(ON),要么完全无(OFF)。电压或电流源是以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的。通的时候即是直流供电被加到负载上的时候,断的时候即是供电被断开的时候。只要带宽足够,任何模拟值都可以使用PWM进行编码。

如图1所示,用一系列等幅不等宽的脉冲来代替一个正弦半波,正弦半波N等分,看成N个相连的脉冲序列,宽度相等,但幅值不等;用矩形脉冲代替,等幅,不等宽,中点重合,面积(冲量)相等,宽度按正弦规律变化。

SPWM波形——脉冲宽度按正弦规律变化而和正弦波等效的PWM波形。

图1用PWM波代替正弦半波

3 基于CPLD的PWM方案

一个PWM发生器必须包括计数器,数据比较器,另外就是配置PWM参数的时钟分频寄存器和占空比寄存器,结构框图如图2所示,这些电路都可以用CPLD来实现。

图2 PWM控制器结构框图

高频时钟信号经分频器驱动计数器,计数器如图3所示,总是从Bottom到Top的循环计数,计数器的输出和占空比寄存器里的数据经数据比较器比较,输出PWM信号,当计数器输出小于占空比设定值时输出低电平(0),否则输出高电平(1),如图3(b)(c)所示。从图中还可以看出,计数器的周期就是PWM信号的周期,通过修改占空比寄存器从而实现对输出PWM信号高低电平比例控制,图3(b)是占空比为P1的PWM输出,图3(c)是占空比为P2的PWM输出,它们周期相同,高低电平的比例不同。

a)

b

c

图3 PWM信号发生器时序波形图

下面用硬件描述语言来设计CPLD的内部电路,这里给出VerilogHDL版本的参考代码。

module Mini51b_PWM(P0,ALE,P27,WR,PWM);//模块电路命名和端口说明

input [7:0]P0;//数据输入接MCU数据P0口

input ALE,P27,WR;//几个MCU读写控制引脚

output PWM;//PWM信号输出

wire [7:0]addr;//内部地址线

reg [7:0]daPWMc,daPWMs;//定义计数器和占空比设定寄存器

reg [3:0]divPWM,divPWMc;//分频控制变量

reg PWM;//输出锁存器

assign addr = ALE?P0 : addr; //低八位地址锁存

always @(negedge WR)//在MCU写信号有效时执行寄存器设定

begin

case({P27,addr[4:0]}) //根据地址选择寄存器

6'b10_1000: daPWMs <= P0;//写带地址的寄存器

6'b10_1001: divPWM <= P0[3:0];//写带地址的寄存器

default:begin//其它地址则让寄存器保持不变

daPWMs <= daPWMs;

divPWM <= divPWM;

end

endcase

end

always @(posedge ALE) begin//这里利用MCU的ALE做时钟信号

if(divPWMc == divPWM) begin //与分频系数比较

divPWMc<=0;

if(daPWMc<100) daPWMc <= daPWMc+1; //PWM调整精度1%

else daPWMc <= 0;

if(daPWMs < daPWMc) PWM <= 0;//PWM发生器

else PWM <= 1;

end

else divPWMc <= divPWMc+1;//时钟分频

end

endmodule

关于MCU与CPLD之间的接口请读者参考本刊前几期笔者撰写的文章。

与之对应的MCU测试程序为:

#include

#include

#define PWM XBYTE[0xffe8]

#define DIV XBYTE[0xffe9]

void main()

{

DIV = 15; //PWM信号频率计算晶振22.1184M/6/100/(DIV+1)=2.30K(实测2.281K)

PWM=50; //设定占空比50%,前面计数器范围为0~99

while(1) ;

}

执行MCU程序,选择不同的分频系数和占空比值,从CPLD的引脚输出PWM信号示波器截图如图4所示。

图4 不同占空比的PWM信号示波器截图

4 SPWM

如果将占空比按正弦规律随着时间变化,就可以得到正弦调制的PWM信号,也就是SPWM。如图5所示,该信号经过阻容滤波可以得到正弦模拟信号,这里的运放做电压跟随器用,对信号驱动能力进行放大。实际得到的正弦信号示波器截图效果如图6所示。

正弦信号发生器MCU演示程序:

#include

#include

#define PWM XBYTE[0xffe8]

unsigned char code sine_dot[32]= {

49,59,68,77,84,90,95,98,99,98,95,90,84,77,68,59,49,40,30,22,14,8,4,1,0,1,4,8,14,22,30,40 };//正弦表

void main()

{

unsigned char i=0;

while(1) {

PWM = sine_dot[i];

i=(i++)&0x1f;

}//如果要严格控制SPWM的周期,这里的while循环请用定时器来驱动

}

Vout

图5 SPWM阻容滤波电路

图6 正弦信号示波器截图

5三路精确相位差正弦信号发生器

对CPLD改进设计,很容易实现多路PWM输出。例如设计具有精确相位差的三相正弦信号,CPLD 电路VerilogHDL程序如下:

module Mini51b_PWM(P0,ALE,P27,WR,PWM);

input [7:0]P0;

input ALE,P27,WR;

output [2:0]PWM;

wire [3:0]addr;

reg [7:0]daPWMc;

reg [7:0]daPWMs0,daPWMs1,daPWMs2;

reg [2:0]PWM;

wire clk,nclk,a,b,c,d;

assign addr= (ALE)?P0[3:0]:addr; //低八位地址锁存

always @(negedge WR)

begin

case({P27,addr})

5'H10: daPWMs0 <= P0;//写带地址的寄存器

5'H11: daPWMs1 <= P0;//写带地址的寄存器

5'H12: daPWMs2 <= P0;//写带地址的寄存器

default:

begin

daPWMs0 <= daPWMs0;

daPWMs1 <= daPWMs1;

daPWMs2 <= daPWMs2;

end

endcase

end

always @(posedge clk) begin

daPWMc <= daPWMc+1; //PWM调整精度1%

if(daPWMs0 < daPWMc) PWM[0] <= 0;//PWM发生器

else PWM[0] <= 1;

if(daPWMs1 < daPWMc) PWM[1] <= 0;//PWM发生器

else PWM[1] <= 1;

if(daPWMs2 < daPWMc) PWM[2] <= 0;//PWM发生器

else PWM[2] <= 1;

end

assign nclk=!clk;

LCELL A0(.in(nclk), .out(a));

LCELL A1(.in(a), .out(b));

LCELL A2(.in(b), .out(c));

LCELL A3(.in(c), .out(d));

LCELL A4(.in(d), .out(clk));//PWM时钟来自CPLD内部LCELL延迟电路振荡器

endmodule

与之对应的MCU演示程序:

#include

#include

#define PWM0 XBYTE[0xfff0]

#define PWM1 XBYTE[0xfff1]

#define PWM2 XBYTE[0xfff2]

unsigned char code sine_dot[36]= //8阶,36点正弦表

{

0x80,0x96,0xab,0xbf,0xd2,0xe2,0xee,0xf8,0xfe,0xff,0xfe,0xf8,

0xee,0xe2,0xd2,0xc0,0xab,0x96,0x80,0x69,0x54,0x40,0x2d,0x1e,

0x11,0x07,0x01,0x00,0x01,0x07,0x10,0x1d,0x2d,0x3f,0x53,0x69

};

void main()

{

unsigned char a,b,c;

a=0;

while(1) {

a %= 36;//对36取余数及0~35

b=(a+12)%36;//较a路滞后120度相位

c=(a+24)%36;//较a路滞后240度相位

PWM0 = sine_dot[a];

PWM1 = sine_dot[b];

PWM2 = sine_dot[c];

a++;

}

}

实际得到的三相正弦信号示波器截图效果如图7所示,只是双踪示波器同时只能看两路信号。

图7 具有精确相位差的三相正弦信号示波器截图

6 结束语

今后,MCU+CPLD结构将是很多电子系统设计的一种基本架构,MCU可以用程序实现复杂智能的控制与检测,CPLD又可以实现灵活多变的外围扩展电路设计,尤其是可以用硬件实现特殊的MCU无法实现的功能,弥补MCU响应速度慢影响实时性问题,两者互补,完全实现硬件软设计,使得同一硬件平台

能够通过软件实现更多的功能。

功率场效应管原理

功率场效应晶体管(MOSFET)原理 功率场效应管(Power MOSFET)也叫电力场效应晶体管,是一种单极型的电压控制器件,不但有自关断能力,而且有驱动功率小,开关速度高、无二次击穿、安全工作区宽等特点。由于其易于驱动和开关频率可高达500kHz,特别适于高频化电力电子装置,如应用于DC/DC变换、开关电源、便携式电子设备、航空航天以及汽车等电子电器设备中。但因为其电流、热容量小,耐压低,一般只适用于小功率电力电子装置。 一、电力场效应管的结构和工作原理 电力场效应晶体管种类和结构有许多种,按导电沟道可分为P沟道和N沟道,同时又有耗尽型和增强型之分。在电力电子装置中,主要应用N沟道增强型。 电力场效应晶体管导电机理与小功率绝缘栅MOS管相同,但结构有很大区别。小功率绝缘栅MOS管是一次扩散形成的器件,导电沟道平行于芯片表面,横向导电。电力场效应晶体管大多采用垂直导电结构,提高了器件的耐电压和耐电流的能力。按垂直导电结构的不同,又可分为2种:V形槽VVMOSFET和双扩散VDMOSFET。 电力场效应晶体管采用多单元集成结构,一个器件由成千上万个小的MOSFET组成。N沟道增强型双扩散电力场效应晶体管一个单元的部面图,如图1(a)所示。电气符号,如图1(b)所示。

电力场效应晶体管有3个端子:漏极D、源极S和栅极G。当漏极接电源正,源极接电源负时,栅极和源极之间电压为0,沟道不导电,管子处于截止。如果在栅极和源极之间加一正向电压U GS,并且使U GS大于或等于管子的开启电压U T,则管子开通,在漏、源极间流过电流I D。U GS超过U T越大,导电能力越强,漏极电流越大。 二、电力场效应管的静态特性和主要参数 Power MOSFET静态特性主要指输出特性和转移特性,与静态特性对应的主要参数有漏极击穿电压、漏极额定电压、漏极额定电流和栅极开启电压等。{{分页}} 1、静态特性 (1)输出特性 输出特性即是漏极的伏安特性。特性曲线,如图2(b)所示。由图所见,输出特性分为截止、饱和与非饱和3个区域。这里饱和、非饱和的概念与GTR不同。饱和是指漏极电流I D不随漏源电压U DS的增加而增加,也就是基本保持不变;非饱和是指地U CS 一定时,I D随U DS增加呈线性关系变化。 (2)转移特性

场效应管电机驱动-MOS管H桥原理

精心整理 场效应管电机驱动-MOS管H桥原理 所谓的H桥电路就是控制电机正反转的。下图就是一种简单的H桥电路,它由2个P型场效应管Q1、Q2与2个N型场效应管Q3、Q3组成,所以它叫P-NMOS管H桥。 与非网 模拟与电源技术社区 桥臂上的4个场效应管相当于四个开关,P型管在栅极为低电平时导通,高电平时关闭;N型管在栅极为高电平时导通,低电平时关闭。场效应管是电压控制型元件,栅极通过的电流几乎为“零”。 正因为这个特点,在连接好下图电路后,控制臂1置高电平(U=VCC)、控制臂2置低电平(U=0)时,Q1、Q4关闭,Q2、Q3导通,电机左端低电平,右端高电平,所以电流沿箭头方向流动。设为电机正转。 与非网 模拟与电源技术社区 控制臂1置低电平、控制臂2置高电平时,Q2、Q3关闭,Q1、Q4导通,电机左端高电平,右端低电平,所以电流沿箭头方向流动。设为电机反转。

与非网 模拟与电源技术社区 当控制臂1、2均为低电平时,Q1、Q2导通,Q3、Q4关闭,电机两端均为高电平,电机不转; 当控制臂1、2均为高电平时,Q1、Q2关闭,Q3、Q4导通,电机两端均为低电平,电机也不转, 所以,此电路有一个优点就是无论控制臂状态如何(绝不允许悬空状态),H桥都不会出现“共态导通”(短路),很适合我们使用。 (另外还有4个N型场效应管的H桥,内阻更小,有“共态导通”现象,栅极驱动电路较复杂,或用专用驱动芯片,如MC33883,原理基本相似,不再赘述。) 下面是由与非门CD4011组成的栅极驱动电路,因为单片机输出电压为0~5V,而我们小车使用的H桥的控制臂需要0V或7.2V电压才能使场效应管完全导通,PWM输入0V或5V时,栅极驱动电路输出电压为0V或7.2V,前提是CD4011电源电压为7.2V。切记!! 故CD4011仅做“电压放大”之用。之所以用两级与非门是为了与MC33886兼容。

纯电动汽车的驱动电机系统详解

纯电动汽车的驱动电机系统详解 驱动电机系统是电动汽车三大核心系统之一,是车辆行驶的主要驱动系统,其特性决定了车辆的主要性能指标,直接影响车辆动力性、经济性和用户驾乘感受。一、驱动电机系统介绍驱动电机系统由驱动电机、驱动电机控制器(MCU)构成,通过高低压线束、冷却管路与整车其他系统连接,如图1所示。整车控制器(VCU)根据加速踏板、制动踏板、挡位等信号通过CAN网络向电机控制器MCU发送指令,实时调节驱动电机的扭矩输出,以实现整车的怠速、加速、能量回收等功能。电机控制器能对自身温度、电机的运行温度、转子位置进行实时监测,并把相关信息传递给整车控制器VCU,进而调节水泵和冷却风扇工作,使电机保持在理想温度下工作。驱动电机技术指标参数,如表1所示,驱动电机控制器技术参数如表2所示。1、驱动电机永磁同步电机是一种典型的驱动电机(图2),具有效率高、体积小、可靠性高等优点,是动力系统的执行机构,是电能转化为机械能载体。它依靠内置旋转变压器、温度传感器(图3)来提供电机的工作状态信息,并将电机运行状态信息实时发送给MCU。旋转变压器检测电机转子位置,经过电机控制器内旋变解码器解码后,电机控制器可获知电机当前转子位置,从而控制相应的IGBT功率管导通,按顺序给定子三个线圈通电,驱

动电机旋转。温度传感器的作用是检测电机绕组温度,并提信息供给MCU,再由MCU通过CAN线传给VCU,进而控制水泵工作、水路循环、冷却电子扇工作,调节电机工作温度。驱动电机上有一个低压接口和三根高压线(V、U、W)接口,如图4所示。其中低压接口各端子定义如表3所示,电机控制器也正是通过低压端口获取的电机温度信息和电机 转子当前位置信息。2、驱动电机控制器驱动电机控制器MCU结构如图5所示,它内部采用三相两电平电压源型逆变器,是驱动电机系统的控制核心,称为智能功率模块,它以IGBT(绝缘栅双极型晶体管)为核心,辅以驱动集成电路、主控集成电路。MCU对所有的输入信号进行处理,并将驱动电机控制系统运行状态信息通过CAN2.0网络发送给整车控制器VCU。驱动电机控制器内含故障诊断电路,当电机出现异常时,达到一定条件后,它将会激活一个错误代码并发送给VCU整车控制器,同时也会储存该故障码和相关数据。驱动电机控制器主要依靠电流传感器(图6)、电压传感器、温度传感器来进行电机运行状态的监测,根据相应参数进行电压、电流的调整控制以及其它控制功能的完成。电流传感器用于检测电机工作实际电流,包括母线电流、三相交流电流。电压传感器用于检测供给电机控制器工作的实际电压,包括动力电池电压、12V蓄电池电压。温度传感器用于检测电机控制系统的工作温度,包括IGBT模块的温度。驱动电

PWM信号发生器的设计程序(veriloghdl)

PWM信号发生器的设计程序 module pwmgen(clk,rst,ce,addr,write,wrdata,read,bytesel,rddata,pwm); input clk,rst,ce; input [1:0]addr; input write,read; input[31:0]wrdata; output[31:0]rddata; input[31:0]bytesel; output pwm; reg[31:0]clk_div_reg,duty_cycle_reg; reg control_reg; reg clk_div_reg_sel,duty_cycle_reg_sel,control_reg_sel; reg[31:0]pwm_cnt,rddata; reg pwm; wire pwm_ena; always@(addr) begin clk_div_reg_sel<=0;duty_cycle_reg_sel<=0;control_reg_sel<=0; case(addr) 2'b00:clk_div_reg_sel<=1; 2'b01:duty_cycle_reg_sel<=1; 2'b10:control_reg_sel<=1; default: begin clk_div_reg_sel<=0; duty_cycle_reg_sel<=0; control_reg_sel<=0; end endcase end always@(posedge clk or negedge rst) begin if(rst==1'b0) clk_div_reg=0; else begin if(write & ce & clk_div_reg_sel) begin if(bytesel[0]) clk_div_reg[7:0]=wrdata[7:0]; if(bytesel[1]) clk_div_reg[15:8]=wrdata[15:8];

纯电动汽车的结构和驱动系统性能比较资料

纯电动汽车的结构分析和驱动系统性能比较 摘要 纯电动汽车驱动形式有很多种,为了选择最合适的驱动系统,我们对不同驱动系统的结构特征进行了分析,在纯电动汽车上匹配不同的驱动系统后比较其动力性;以城市驾驶循环为例建立车辆能耗模型来比较其经济性。结果显示:单电机直接驱动系统虽然最简单,但其性能最差;装配两速变速器后,动力性显著改善,汽车行驶里程增加3.6%,但自动变速的功能难以解决;采用轮毂电机驱动系统可以改善汽车的动力性,但实际行驶效率不高;而双电机耦合驱动系统可以实现高效率行驶,其行驶里程比单电机直驱增加了7.79%,并且因为其具有结构简单,行驶效率高等特点,所以适用于现在的纯电动汽车。 绪论 作为核心部件,电力驱动系统的技术水平直接制约纯电动汽车的整体性能。如今,有多种驱动系统可以使用。根据车轮驱动扭矩的动力源,驱动系统的模式可分为整体式驱动和分布式驱动。整体式驱动系统的驱动扭矩由主减速器或次级减速器或差速器来调节,主要包括单电机直驱和主副电机耦合系统。在分布式驱动中,每个驱动轮都有一个单独的驱动系统,轮毂电机驱动系统是分布式驱动的主要形式。 整体式驱动的技术相对比较成熟,但驱动力通过差速器被大致平均分配到左、右半轴,单个驱动轮的转矩在大多数车辆中不能独立地调节。因此不安装其他的传感器和控制器,我们很难对汽车的运动和动力进行控制[1]。分布式驱动近几年飞速发展,由于大多数车轮和电动机之间的机械部件被替换,因此分布式驱动系统具有结构紧凑和传动效率高的优点[2]。 为了选取最适合纯电动汽车的驱动方式,本文对不同驱动系统的结构特征和动力性经济性比较进行了比较说明。本文结构如下:第二部分为驱动系统的结构特征分析,第三部分介绍驱动系统的参数和部件性能,第四部分比较不同驱动系统的动力性,第五部分比较不同驱动系统的经济性,第六部分得出结论。 结构分析 整体式驱动 整体式驱动系统被广泛应用于各类电动车辆,其主要结构如图1所示。其中M是电动机,R是固定速比减速器,T是变速器,D是主减速器,W是车轮。图1 a是单电机直驱系统,其扭矩由主减速器调节,通常称为直驱系统。图1 b和直驱系统十分相似,除了扭矩由变速器调节。因为驱动电机的速比调节范围比内燃机的更大,所以能以较少的齿轮数目的传动来满足在任何工况下的电动汽车需求。图1 c是另外一种整体式驱动形式,其采用两个驱动电机和主减速器,其中一个电机在大多数工况下作为汽车的动力来源,另外一个电机只有在需要附加功率时才会工作。

纯电动车驱动控制系统

纯电动车驱动操纵系统 1驱动系统硬件设计 1.1制动能量回馈操纵过程能量回馈操纵主电路如图3所示,三相逆变电路采纳IGBT功率模块,模块中包括6个IGBT以及各开关管相对 应的续流二极管D1~D6[7-9]。本文采纳SVPWM磁链跟踪操纵技术,操纵PWM的开关时间,使逆变器的输出电压波形尽量接近正弦波,在 电机空间形成逼近圆形的旋转磁场。为了获得多边形旋转磁场逼近圆 形旋转磁场,在每个电压空间矢量的60°区间内能够有多个工作妆态。图4所示为第Ⅰ扇形区域,该扇形区内的T区间包括T0,T1,T2和T7对称分布,相对应的电压空间矢量为u0,u1,u2和u7,其功率开关管开关状态为000,100,110和111共4个状态[10]。该T区间内按 照开关序列输出的三相相电压波形如图5所示。状态1,给定电压空间矢量为u0(000),功率开关管T2、续流二极管D4和D6导通,构成三 相回路,制动时的能量一部分由定子电阻消耗,另一部分存储于定子 电感中,此过程的电流流向如图6(a)所示。状态2,开关状态从u0切 换到u1,功率开关管T2关断,但因为T1承受反压仍处于关断状态, 其续流二极管D1导通,b,c相下桥臂的D4和D6导通,构成三相回路;制动过程中,将电机电感释放的能量回馈到直流侧电容和蓄电池中, 达到制动能量回收的目的,如图6(b)所示。状态3,开关状态从u1切 换到u2,功率开关管T3、二极管D1和D6导通,制动时,电机a和c 相电感释放的能量储存有直流侧电容和电池,而b相电感储存能量, 电流流向如图6(c)所示。状态4,开关状态从u2切换到u7,功率开关管T3,T5以及二极管D1导通,制动过程中,一部分能量消耗在定子 电阻上,另一部分制动能量存储于定子电感中,电流流向如图6(d)所示。由上述对区间Ⅰ操纵过程的分析可得,制动过程中,给定电压空 间矢量为零矢量时,电机定子的电感处于储能状态且定子电阻消耗一 部分能量,电流不经过直流侧电容和电池;当给定电压空间矢量为非零 矢量时,电机将机械能转换成电能,利用三相逆变器的二极管将电能 反馈到直流侧,为电容和蓄电池充电,实现制动能量反馈功能。

PWM信号发生电路

1.PWM信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)与AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 基本原理就是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM信号。 此方法优点就是成本低、各环节波形与电压值可观测、易于扩展应用电路等。缺点就是电路集成度低,不利于产品化。 2)单片机自动生成PWM信号 基本原理就是由单片机内部集成PWM发生器模块在程序控制下产生PWM 信号。 优点就是电路简单、便于程序控制。缺点就是不利于学生观测PWM产生过程,闭环控制复杂与使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM信号 基本原理就是以复杂可编程逻辑器件(CPLD)或现场可编程门阵列器件(FPGA)为硬件基础,设计专用程序产生PWM信号。 优点就是电路简单、PWM频率与占空比定量准确。缺点就是闭环控制复杂,产生SPWM信号难度大。 4)专用芯片产生PWM信号 就是生产厂家设计、生产的特定功能芯片。 优点就是使用方便、安全,便于应用到产品设计中。缺点就是不利于学生观测PWM产生过程与灵活调节各项参数。 2.电子元件构成PWM发生器电路

图1电子元件构成PWM发生器电路 3.集成芯片SG3525构成PWM发生器电路 一、PWM信号发生电路说明 实验电路中,驱动开关管的PWM信号由专用PWM控制集成芯片SG3525产生(美国Silicon General公司生产),PWM信号发生器电路如图2所示。 图2 PWM信号发生器电路图 SG3525采用恒频脉宽调制控制方案,内部包含有精密基准源、锯齿波振荡器、误差放大器、比较器、分频器与保护电路等。调节Ur的大小,在OUTA、OUTB

场效应管驱动电阻的经典计算方法

Q L Rg Cgs DR IVE VC C 12V

驱动电压: 驱动电流: 可以看到当Rg比较小时驱动电压上冲会比较高,震荡比较多,L越大越明显,此时会对MOSFET及其他器件性能产生影响。但是阻值过大时驱动波形上升比较慢,当MOSFET有较大电流通过时会有不利影响。 此外也要看到,当L比较小时, 此时驱动电流的峰值比较大,而一般 IC的驱动电流输出能力都是有一定 限制的,当实际驱动电流达到IC输 出的最大值时,此时IC输出相当于 一个恒流源,对Cgs线性充电,驱动 电压波形的上升率会变慢。电流曲线 就可能如左图所示(此时由于电流不 变,电感不起作用)。这样可能会对 IC的可靠性产生影响,电压波形上升 段可能会产生一个小的台阶或毛刺。

TR(nS) 19 49 230 20 45 229 Rg(ohm) 10 22 100 10 22 100 L(nH) 30 30 30 80 80 80 可以看到L 对上升时间的影响比较小,主要还是Rg 影响比较大。上升时间可以用2*Rg*Cgs 来近似估算,通常上升时间小于导通时间的二十分之一时,MOSFET 开关导通时的损耗不致于会太大造成发热问题,因此当MOSFET 的最小导通时间确定后Rg 最大值 也就确定了 Rg 140Ton_min Cgs ,一般Rg 在取值范围内越小越好,但是考虑EMI 的话可以 适当取大。 以上讨论的是MOSFET ON 状态时电阻的选择,在MOSFET OFF 状态时为了保证栅极电荷快速泻放,此时阻值要尽量小,这也是Rsink

场效应管电机驱动MOS管H桥原理

场效应管电机驱动M O S 管H 桥原理 所谓的H桥电路就是控制电机正反转的。下图就是一种简单的H桥电路,它由2个P型场 效应管Q1 Q2与2个N型场效应管Q3 Q3组成,所以它叫P-NMO管H桥。 与非网 模拟与电源技术社区 桥臂上的4个场效应管相当于四个开关,P型管在栅极为低电平时导通,高电平时关闭;N 型管在栅极为高电平时导通,低电平时关闭。场效应管是电压控制型元件,栅极通过的电流几乎为“零”。 正因为这个特点,在连接好下图电路后,控制臂1置高电平(U=VCC、控制臂2置低电平 (U=0时,Q1 Q4关闭,Q2 Q3导通,电机左端低电平,右端高电平,所以电流沿箭头 方向流动。设为电机正转

与非网 模拟与电源技术社区 控制臂1置低电平、控制臂2置高电平时,Q2 Q3关闭,Q1、Q4导通,电机左端高电平, 右端低电平,所以电流沿箭头方向流动。设为电机反转

与非网 模拟与电源技术社区 当控制臂1、2均为低电平时,Q1、Q2导通,Q3 Q4关闭,电机两端均为高电平,电机不转;当控制臂1、2均为高电平时,Q1、Q2关闭,Q3 Q4导通,电机两端均为低电平,电机也不转,所以,此电路有一个优点就是无论控制臂状态如何(绝不允许悬空状态),H桥都不会出 现“共态导通”(短路),很适合我们使用。

(另外还有4个N型场效应管的H桥,内阻更小,有“共态导通”现象,栅极驱动电路较 复杂,或用专用驱动芯片,如MC33883原理基本相似,不再赘述。) 下面是由与非门CD4011组成的栅极驱动电路,因为单片机输出电压为0~5V,而我们小车 使用的H桥的控制臂需要0V或7.2V电压才能使场效应管完全导通,PW输入0V或5V时, 栅极驱动电路输出电压为0V或7.2V,前提是CD4011电源电压为7.2V。切记!! 故CD4011仅做“电压放大”之用。之所以用两级与非门是为了与MC33886兼容 与非网 模拟与电源技术社区 两者结合就是卜面的电调试时两个PW输入端其中一个接地,另一个悬空(上拉置1),电机转为正常。监视MOS管温度,如发热立即切断电源检查电路。

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

纯电动汽车电机驱动系统分析word版

纯电动汽车电机驱动系统分析 当前推广的新能源汽车,包括燃料电池汽车、纯电动汽车和插电式混合动 力汽车。其中,纯电动汽车因为显著的环境效益和能源节约效益,尤其是在使 用过程中无大气污染物直接排放,所以受到国家层面的大力推动。纯电动汽车 主要由电机驱动系统、整车控制系统和电池系统3部分构成。其中,电机驱动系统的主要部件包括电机、功率转换器、控制器、减速器以及各种检测传感器等,功能是将电能直接转换为机械能。电机驱动系统作为纯电动车行使过程中的主 要执行结构,其驱动特性决定了主要驾驶性能指标[1]。因此,要改善纯电动汽 车的行驶性能,就需研究电机驱动系统的优化方案。 1电机驱动集成装置 纯电动汽车的电机驱动系统中,电机将电能转换为动能以产生驱动转矩, 而减速器与电机传动连接,在电机和执行机构之间起匹配转速和传递转矩的作用。目前,电机驱动系统的这3部分主要采用分体设计,然后由整车厂组装成为一个整体。这种组装形成的电机驱动装置,整体体积一般很大,因而对空间需 求也大。为使电机驱动装置能便利地在整车机舱布置,现有的一种解决方案是 集成关联的电机驱动部件。如图1所示,此新型装置由驱动电机、控制器、减速器和连接轴等主要部件集成。在电机驱动集成装置中,减速器位于驱动电机的 第一端,且与其延伸出的输出轴传动连接。连接轴与减速器传动连接,且沿驱 动电机的侧面向其第二端延伸。控制器位于连接轴的上方,与其连接的接线盒 用于容置驱动电机的电源线和控制线[2]。减速器的连接轴沿驱动电机的侧面延伸,使得整个电驱动装置的长宽尺寸相对较少。由于连接轴的尺寸远小于电机 的尺寸,且其所处位置的高度相对较低,将控制器直接设置在连接轴上方,就 实现整体高度的降低。相比于将控制器设置于电机的上方,此电机驱动集成装 置充分利用连接轴上方的空间,做到较小体积,因而对空间需求也小。b5E2RGbCAPklfHYJ6cEUqP AsthvQ VFNqwK3w9lbp Xh3ITF LbT LbiyTdmv cyAblH U2UOvE rzK0eX9MRyOv kWatvR DwH1XM AeBz8G。

一种大功率场效应管隔离驱动电路

一种大功率场效应管隔离驱动电路 余洋云南交通技术学院 摘要:本文介绍了一种高性能的的大功率场效应管隔离驱动电路,并就其技术原理、性能、特点以及运用做了详细的阐述。 关键词:场效应管,隔离,驱动电路 A high power MOSFET isolated driver circuit Yu Yang yunnan traffic institute of technology abstract:This article describes one model of china-made high-power MOSFET Isolation drive Circuit and detailed introduction of its performance,features and application. Keywords: MOSFET, Isolation, drive Circuit 1 概述 大功率场效应管因工作频率高,驱动损耗小等优点在高频大功率电子设备中成为不可替代的功率半导体器件,尤其是在高频大功率开关电源以及高频感应加热设备中,大功率场效应管几乎是了唯一可以选择的功率器件。由于主回路工作电压高,驱动功率大,且开关频率高,为了减少功率变换电路对控制电路(尤其是以DSP等数字处理器为核心的控制系统)干扰,实际运用中需要把功率电路和控制电路隔离,因此就需要具有隔离驱动功能的大功率场效应管驱动电路。目前市场上的场效应驱动器很多,但大多以IR公司的小功率的专用IC为主,这类IC 的缺点在于本能实现控制电路与功率电路的隔离驱动,且驱动能力小。本文向大家介绍的大功率场效应管隔离驱动电路具有驱动功率大、工作频率高、电路简单等特点,可应用于250A/1000V以内容量的大功率场效应管隔离驱动。 电路采用了变压器调制解调隔离驱动技术,信号延迟时间短,抗干扰能力强;采用了干扰脉冲抑制技术,脉冲宽度小于调制电路RS触发器1/2时钟周期宽度的干扰脉冲都将被忽略;内部集成隔离的DC/DC变换电路,只需外供15V电源即可稳定工作。

pwm波信号发生器

电子技术综合训练 设计报告 题目:PWM信号发生器的设计 姓名: 学号: 班级: 同组成员: 指导教师: 日期: 摘要 本次课程设是基于TTL系列芯片的简易PWM信号发生器,PWM信号发生器应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim10仿真软件。本课程设计介绍了PWM信号发生器的设计方案及其基本原理,并着重介绍了PWM信号发生器各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于

测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字: 目录 1 设计任务和要求…………………………………………………………? 1.1设计任务……………………………………………………………? 1.2设计要求…………………………………………………………….? 2 系统设计…………………………………………………………………? 2.1系统要求…………………………………………………………….? 2.2方案设计……………………………………………………………? 2.3系统工作原理……………………………………………………….? 3 单元电路设计……………………………………………………………? 3.1 单元电路A(单元电路的名称) ……………………………………? 3.1.1电路结构及工作原理……………………………………………? 3.1.2电路仿真…………………………………………………………?

3.1.3元器件的选择及参数确定……………………………………………? 3.2单元电路B(单元电路的名称) ……………………………………? 3.2.1电路结构及工作原理…………………………………………? 3.2.2电路仿真…………………………………………………………? 3.2.3元器件的选择及参数确定…………………………………………….? …… 4 系统仿真……………………………………………………………………?. 5 电路安装、调试与测试……………………………………………………? 5.1电路安装………………………………………………………………? 5.2电路调试………………………………………………………………? 5.3系统功能及性能测试…………………………………………………? 5.3.1测试方法设计………………………………………………………? 5.3.2测试结果及分析……………………………………………………? 6 结论…………………………………………………………………………?

场效应管电机驱动

场效应管电机驱动-MOS管H桥原理 所谓的H 桥电路就是控制电机正反转的。下图就是一种简单的H 桥电路,它由2 个P型场效应管Q1、Q2 与2 个N 型场效应管Q3、Q3 组成,所以它叫P-NMOS 管H 桥。 桥臂上的4 个场效应管相当于四个开关,P 型管在栅极为低电平时导通,高电平时关闭;N 型管在栅极为高电平时导通,低电平时关闭。场效应管是电压控制型元件,栅极通过的电流几乎为“零”。 正因为这个特点,在连接好下图电路后,控制臂1 置高电平(U=VCC)、控制臂2 置低电平(U=0)时,Q1、Q4 关闭,Q2、Q3 导通,电机左端低电平,右端高电平,所以电流沿箭头方向流动。设为电机正转。 控制臂1 置低电平、控制臂2 置高电平时,Q2、Q3 关闭,Q1、Q4 导通,电机左端高电平,右端低电平,所以电流沿箭头方向流动。设为电机反转。

当控制臂1、2 均为低电平时,Q1、Q2 导通,Q3、Q4 关闭,电机两端均为高电平,电机不转; 当控制臂1、2 均为高电平时,Q1、Q2 关闭,Q3、Q4 导通,电机两端均为低电平,电机也不转,所以,此电路有一个优点就是无论控制臂状态如何(绝不允许悬空状态),H 桥都不会出现“共态导通”(短路),很适合我们使用。 (另外还有4 个N 型场效应管的H 桥,内阻更小,有“共态导通”现象,栅极驱动电路较复杂,或用专用驱动芯片,如MC33883,原理基本相似,不再赘述。) 下面是由与非门CD4011 组成的栅极驱动电路,因为单片机输出电压为0~5V,而我们小车使用的H 桥的控制臂需要0V 或7.2V 电压才能使场效应管完全导通,PWM 输入0V 或5V时,栅极驱动电路输出电压为0V 或7.2V,前提是CD4011 电源电压为7.2V。切记!! 故CD4011 仅做“电压放大”之用。之所以用两级与非门是为了与MC33886 兼容。

基于CPLD的PWM发生器设计

第32卷 第6期 2010-6 【151】 基于CPLD的PWM发生器设计 A PWM generator designed with CPLD 耿伟松,于海东 GENG Wei-song, YU Hai-dong (扬州大学 能源与动力工程学院,扬州 225009) 摘 要:H形桥式变换器在多种动力系统中有着广泛的应用。在电机控制中,H桥中开关的控制一般采 用PWM控制技术。采用VHDL硬件描述语言设计了基于CPLD的PWM发生器,并使用Max+PlusⅡ进行仿真验证,仿真结果验证了设计的正确性。设计中采用了一种巧妙的方法来实现,其原理简单。基于CPLD的PWM发生器将会简化控制系统的硬件和软件设计,获得更高的开关频率,其应用这将大大简化直流电机控制系统的设计并且改善系统的控制性能。 关键词:H桥;PWM发生器;CPLD;VHDL;Max+ Plus Ⅱ 中图分类号:TM383.6 文献标识码:B 文章编号:1009-0134(2010)06-0151-03Doi: 10.3969/j.issn.1009-0134.2010.06.50 0 引 言 自从全控型电力电子器件问世以后,就出现了采用脉冲宽度调制的高频开关控制方式,形成了直流P W M 调速系统[1]。脉宽调制变换器的作用是:用脉冲宽度调制的方法,把恒定的直流电源电压调制成频率一定、宽度可变的脉冲电压序列,从而改变平均输出电压的大小,以调节电机转速。 PWM变换器电路有多种形式,可分为可逆和不可逆两大类。其中可逆PWM变换器主电路有多种形式,最常用的是桥式(H形)电路。桥式变换器在许多动力系统中得到了广泛的应用(如直流驱动,直流-交流逆变器,开关电源等等)。 1 PWM 发生器的设计 桥式变换器应用在直流电机调速系统中的主要电路结构如图1所示,开关控制基本上采用 PWM技术。 图1 桥式变换器原理图 PWM信号发生器一般是通过模拟电路或者是 基于微处理器的软件控制技术来实现,但随着高速开关器件的涌现,对于复杂的调制技术,即使采用最先进的DSP(数字信号处理器)也很难实现。 随着超大规模集成电路的集成度和工艺水平的不断提高,专用集成电路ASIC的设计成本在不断降低。CPLD/FPGA是实现ASIC的主流器件,它们具有极大的灵活性和通用性,工作速度快,开发效率高,成本低,可靠性好。近年来,CPLD在电机控制系统中的应用收到了系统设计人员越来越多的重视。用CPLD来设计PWM发生器将会简化控制系统的硬件和软件设计,获得更高的开关频率,减少微处理器的计算工作量。 VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面表现了强大的生命力和应用潜力[2],因此本文选用VHDL语言进行编程,用一片CPLD设计了PWM发生器。 由于桥式PWM变换器的工作状态是确定的,所以采用状态机方式来编程[3]也是情有可原,虽然只有六个状态,但其程序实现起来是很复杂的。用数字比较器代替模拟比较器、用线性计数器代替锯齿波发生器来产生PWM信号[4,5]的设计方法也很繁琐。在分析了桥式PWM变换器工作原理的基础上,本文采用了一种巧妙的方法来设计,其原理简单,程序容易实现。 收稿日期:2010-03-24 作者简介:耿伟松(1990-),男,江苏连云港人,本科在读,研究方向为电气工程及自动化。

场效应管电机驱动

场效应管电机驱动-MOS管H桥原理 时间:2010-09-16 来源: 作者:Liang110034@https://www.360docs.net/doc/8c11190332.html, 点击:4161 字体大小:【大中小】 所谓的H 桥电路就是控制电机正反转的。下图就是一种简单的H 桥电路,它由2 个P型场效应管Q1、Q2 与2 个N 型场效应管Q3、Q3 组成,所以它叫P-NMOS 管H 桥。 桥臂上的4 个场效应管相当于四个开关,P 型管在栅极为低电平时导通,高电平时关闭;N 型管在栅极为高 电平时导通,低电平时关闭。场效应管是电压控制型元件,栅极通过的电流几乎为“零”。 正因为这个特点,在连接好下图电路后,控制臂1 置高电平(U=VCC)、控制臂2 置低电平(U=0)时,Q1、 Q4 关闭,Q2、Q3 导通,电机左端低电平,右端高电平,所以电流沿箭头方向流动。设为电机正转。 控制臂1 置低电平、控制臂2 置高电平时,Q2、Q3 关闭,Q1、Q4 导通,电机左端高电平,右端低电平, 所以电流沿箭头方向流动。设为电机反转。

当控制臂1、2 均为低电平时,Q1、Q2 导通,Q3、Q4 关闭,电机两端均为高电平,电机不转; 当控制臂1、2 均为高电平时,Q1、Q2 关闭,Q3、Q4 导通,电机两端均为低电平,电机也不转,所以,此电路有一个优点就是无论控制臂状态如何(绝不允许悬空状态),H 桥都不会出现“共态导通”(短路),很适合我们使用。 (另外还有4 个N 型场效应管的H 桥,内阻更小,有“共态导通”现象,栅极驱动电路较复杂,或用专用驱动芯片,如MC33883,原理基本相似,不再赘述。) 下面是由与非门CD4011 组成的栅极驱动电路,因为单片机输出电压为0~5V,而我们小车使用的H 桥的控制臂需要0V 或7.2V 电压才能使场效应管完全导通,PWM 输入0V 或5V时,栅极驱动电路输出电压为0V 或7.2V,前提是CD4011 电源电压为7.2V。切记!! 故CD4011 仅做“电压放大”之用。之所以用两级与非门是为了与MC33886 兼容。

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

学习笔记-纯电动汽车电力驱动系统研究

纯电动汽车电力拖动系统研究 江苏微特利电机有限公司施洪亮 河南海马轿车有限公司赵长春 1概述 1.1纯电动汽车电力拖动系统简介 电动汽车电力拖动系统可以按照驱动电动机的不同进行分类。 目前应用在电动汽车驱动系统中的电动机既有传统的直流电动机和交流感应电动机,也有新型的永磁同步电动机和开关磁阻电动机。 不论哪一类电力拖动系统,都必须对电动机进行控制,使其满足电动汽车的特殊运行要求。电动汽车与其他的电力拖动系统不同,它需要经常变换运行方式,尤其是在城市行驶状态下,要求电力拖动系统响应迅速、调速范围宽,同时性能稳定。在采用适当的控制策略的条件下,交流感应电动机、永磁同步电动机都能满足这种要求。 1.2纯电动汽车电力拖动系统开发步骤 首先根据市场需要确定整车性能指标,然后参照传统车辆牵引力分析的方法,结合电动机的机械特性,选定电动机和电池的参数,最后依据整车重量的变化核算电动汽车可能达到的动力性能。 电动汽车电力拖动系统结构框图如图1.所示。它以驾驶员的操作(主要是以加速踏板位置的操作)为输入,经过驱动系统控制器的变换后,输出转矩给定值提供给逆变器。逆变器控制电动机的输出转矩,从而使电动汽车以驾驶员的期望状态行驶。

2纯电动汽车电力拖动系统的基本布置 2.1电力拖动系统布置方式与系统构成 纯电动汽车的驱动系统基本构成可分为三个子系统,即电动机系统、动力电源系统和辅助控制系统。 电动机系统由逆变器、电动机、机械传动系等部件组成。它能够将蓄电池输出的电能转化为车轮上的机械能,驱动电动汽车行驶,是电动汽车的关键组成部分。 动力电源系统由动力蓄电池组和电池管理系统构成,电池管理系统是实现电池能量监控、协调控制等功能的关键部件; 辅助控制系统主要是为电动汽车非驱动附件提供控制功能;例如:实现车载充电器控制、动力转向控制、制动助力控制、空气调节控制、冷却装置控制等功能。 在现今的电动汽车电力拖动系统中,有将上述三个子系统的物理结构统一,功能独立的趋势。 2.2电力拖动系统与机械系统的组合方式 电力拖动系统的电动机与机械传动系统有许多种组合方式,以下介绍比较典型并且容易实现的二种组合方式。 2.2.1机械传动系不变的组合方式 机械传动系不变的组合方式的大体结构如图2.1所示。 这种组合方式与传统燃油汽车的驱动系统布置方式没有太大的区别。它是用电动机及其控制系统替代了发动机及其控制系统,而机械传动系则基本不变。电动机输出轴与变速器输入轴相联,动力通过通过变速器传递到主减速器,然后到差速器,最后通过半轴将动力传送给驱动轮。 这种组合方式只需用电动机及其控制系统对燃油汽车的发动机进行置换,就能得到一辆电动汽车,工作相对简单,方便将传统汽车改装成电动汽车。 2.2.2采用固定速比减速器的组合方式 由于电动机的调速范围比较宽,而且它的输出特性与车辆要求的驱动系统特性比较接近。因此可以充分利用电动机的这一特性,取消多档齿轮变速器,采用固定传动比的齿轮减速器和差速器来传递动力。

相关文档
最新文档