基于FPGA的洗衣机控制器设计与实验教学

基于FPGA的洗衣机控制器设计与实验教学
基于FPGA的洗衣机控制器设计与实验教学

第21卷 第2期 天 中 学 刊 V ol .21 No .2 2006年4月 Journal of Tianzhong Apr .2006

收稿日期:2006-03-25

作者简介:司孝平(1965~ ),男,河南封丘人,华北水利水电学院信息工程系讲师,硕士.

基于FPGA 的洗衣机控制器设计与实验教学

司孝平1,赵严峰2

(1.华北水利水电学院,河南 郑州450011;2.黄淮学院,河南 驻马店 463000)

摘 要:以一种洗衣机控制器为例,阐述了FPGA 的设计流程.用ModelSim6.0对控制器的verilogHDL 代码进行了前后仿真,并在伟福EDA6000仿真系统实验仪上实现了此控制器. 关键词:FPGA 设计;verilog HDL ;仿真;洗衣机控制器 在EDA 与数字系统设计的实验教学中,存在的主要问题是学时数少、开发工具多,但部分学生的实验动手能力较弱,因此有一定的教学难度.本文以一种洗衣机控制器为例,阐述FPGA 的设计流程[1],以供EDA 实验教师参考. 1 控制器的设计流程 1.1 设计要求

教师在指导学生进行EDA 设计时,必须要求学生首先弄清设计要求.本文所设计的控制器,应能够控制洗衣机的电动机按下述规律运转:预置总运转时间,按下启动按钮后,电动机便开始循环运转,每个循环周期为60 s ,即正转20 s →暂停10 s →反转20 s →暂停10 s .当总运转时间倒计时为0时,停止运转,并发出蜂鸣声[2]

1.2 流程图和态转换图[3]

当明确了设计要求后,教师应引导学生作出流程图与状态转换图.本文所设计控制器的流程图和态转换图如图1所示.从图1可以看出,系统有3个工作状态:正转状态S0,若forward = 1,则控制电动机正转;暂停状态S1,若stop = 1,则控制电动机停转;反转状态S2,若back = 1,则控制电动机反转.在较长1中,T20表示20 s 定时时间到,!T20则表示20 s 定时时间没有到.同样,T10表示10 s 定时时间到,!T10则表示10 s 定时时间未到.flag 是一个标志位,每当S1状态持续了10 s ,即T10有效时,对flag 取反一次,此时若flag = 1则状态转到S0,若flag = 0则状态转到S1.

用两位数码管预置洗涤时间(分钟数),洗涤中按倒计时方式对洗涤过程作计时显示,用LED 数码管显示电动机的正转、反转或暂停状态,如果定时时间到,则停机并发出声音信号.洗涤开始信号start 有效,则洗涤时间计数器进行倒计数(用数码管显示),同时启

图1 洗衣机控制器的流程图与状态转换图

该电路系统状态转换的时序控制部分,可用一个同步有限状态机来实现.可把状态码的指定与状态机控制的输出联系起来,把状态的变化直接用作输出,即直接用输出信号的组合

{forward ,stop ,back}作为状态变量,并采用独热码编码方式.这样可以提高输

出信号的转换速度并节省电路器件[4],提高电路系统运行的可靠性.

中图分类号:TP273

文献标识码:B

文章编号:1006-5261(2006)02-0103-03

back

stop

1.3verilog代码

以下为根据流程图和状态转换图所编写的verilog 代码:

/**洗衣机控制器的verilogHDL源代码wash. v----*****/

/*信号定义:

d0,d1,d2,d3,d4,d5,d6,d7,d8,d9:数据开关信号,分别代表0,1,2,3,4,5,6,7,8,9;start:开始信号;reset:复位信号;t1l,t1h:可预置数的分钟倒计时器;t2l,t2h:秒加法计时器.forward,back,stop:依次为正转、反转、停止状态输出显示;clk:1 Hz时钟信号;sound:停机音响输出;*/ module wash(d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,clk, start,reset,t1l,t1h,t2l,t2h,forward,back,stop,sound);

input d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,clk,start,reset;

output forward,back,stop,sound;

output[3:0] t1l,t1h,t2l,t2h;

reg [3:0] t1l,t1h,t2l,t2h;

reg forward,back,stop,flag,sound;

always@(posedge clk)

if({d0,d1,d2,d3,d4,d5,d6,d7,d8,d9}!=10'd0)

// 预置时间

begint1h<=t1l;

if(d0)t1l<=0;if(d1)t1l<=1;if(d2)t1l<=2; if(d3)t1l<=3; if(d4)t1l<=4;if(d5)t1l<=5;if(d6)t1l<=6;if(d7)t1l<=7; if(d8) t1l <=8; if(d9)t1l<=9; end

//

else begin

if(start&&{forward,stop,back}==3'b000)

{forward,stop,back}<=3'b100;

else if (reset)

{forward,back,stop,flag,sound,

t1l,t1h,t2l,t2h}<=21'd0;

else if ({forward,stop ,back}!=3'b000)

begin

//*****同步有限状态机******/

case({forward,stop,back})

3'b100:

begin

if(t2h==2)

begin

{t2h,t2l}<=8'h00;

{forward,stop,back}<=3'b010;

end

else begin //秒加法计数器

if(t2l==9) begin t2l<=0;t2h<=t2h+1; end

else t2l<=t2l+1; end

end

3'b010:

begin

if(t2l==9)

begin

t2l<=4'b0000; flag<=!flag;

if(flag)

begin

{forward,stop,back}<=3'b100;

///分钟倒计时;

if(t1l==0)

begin if(t1h!=0) begin

t1h<=t1h-1;t1l<=9; end end

elset1l<=t1l-1;

///

end

else {forward,stop,back}<=3'b001;

end

else t2l<=t2l+1;

end

////

3'b001: begin

if(t2h==2)

begin

{t2h,t2l}<=8'h00;

{forward,stop,back}<=3'b010;

end

else begin

if(t2l==9) begin t2l<=0;t2h<=t2h+1; end

else t2l<=t2l+1; end

end

endcase//**********************/

if({t1h,t1l}==8'd0)

{forward,stop,back,sound, flag,t2h,t2l}<=13'h0200 ;

end end

endmodule

2仿真与分析

2.1仿真与综合

前仿真能发现早期设计中的问题.首先应编写测试模块,然后用ModelSim 6.0对代码进行前仿真.通

过前仿真,发现所设计的控制器符合要求.

若前仿真的结果正确,可用Leonardo_Spectrum 等综合工具,将源代码wash.v综合到Altera公司的ACEX系列EP1K30TC144-3芯片中,并生成wash.edf 文件.报告表明,所编写wash.v源代码是可综合的,即源代码不仅功能仿真正确,而且能够由具体的FPGA芯片EP1K30TC144-3来实现.

前仿真的波形结果不带延迟信息.用Max+plusII 10.0 baseline打开上述wash.edf文件,并指定管脚分配后,comepile可生成格式为.vo的网表文件,即wash.vo和alt_max2.vo文件.为了确定FPGA芯片内布局布线所引起的延时,可利用前仿真时的测试模块以及ModelSim 6.0对这两个.vo格式的文件进行后仿真.本设计中后仿真的波形如图2所示,该波形说明FPGA芯片内布局布线所引起的延时符合设计要求.

图2 后仿真波形

通过以上的仿真与综合,几乎可以认为前面的整个设计是正确的,但还需将源代码下载到目标芯片FPGA内,进一步进行硬件实际验证.

2.2分析验证

用Max+plusII 10.0 baseline对源文件或综合出来的wash.edf文件compile后,还需再对南京伟福公司的EDA6000仿真系统上的EP1K30TC144-3型ACEX 芯片进行配置[5].

硬件验证开始时,在EDA6000仿真系统上,首先按一下reset按键使系统复位,再依次按数字按键0和数字按键3,将定时时间预置为3 min.然后,按一下启动按键start启动系统后,发现在仿真系统上的洗衣机控制器芯片控制下,与分钟倒计数器时间读数{t1h,t1l}对应的LED数码管,以及与各状态秒加法计数器时间读数{t2h,t2l}对应的LED数码管,都能够实时、正确地改变着显示的数字;另外,电动机可以按设计要求正确地正转、反转、暂停,对应的LED数码管也能实时、正确地显示电动机的运转状态.在系统按照流程图所示的规律运转了3个循环后,系统停止运转,同时报警信号sound迅速变为高电平,各数码管全部归零.

另外,还可用EDA600仿真系统上的逻辑分析仪捕获该洗衣机控制器芯片的信号波形[5],如图3所示.图4给出了某一时刻用伟福EDA6000仿真系统所得到的输出信号及时间显示结果.通过比较得知,逻辑分析仪捕获的硬件验证结果与后仿真结果一致,且符合设计要求,说明了该洗衣机控制器的FPGA设计是成功的.

图3 伟福EDA6000仿真系统逻辑分析仪捕获的信号波形

图4 伟福EDA6000仿真系统得到的输出信号及时间显示

FPGA芯片的开发,关键在于正确地分析设计出流程图和状态转换图,并由此正确地编写出可综合的同步有限状态机风格的verilogHDL代码,同时掌握芯片的设计流程也十分重要.理论和实验的有机结合是学好EDA技术的有效途径,通过FPGA芯片的仿真、测试实验,能够大幅度提高学生对EDA技术的学习兴趣和动手能力,对学生掌握可综合风格的同步有限状态机verilogHDL代码的编写方法也具有一定的促进作用.

参考文献:

[1] 褚振勇.FPGA设计及应用[M].西安:西安电子科技大

学出版社,2002.165~195.

[2] 李国丽.EDA与数字系统设计[M].北京:机械工业出

版社,2004.164~167.

[3] 何小艇.电子系统设计[M].杭州:浙江大学出版社,

2000.3~7.

[4] 夏宇闻.verilog数字系统设计教程[M].北京:北京航

空航天大学出版社,2003.125~126.

[5] 南京伟福公司.EDA6000仿真系统说明书[Z].

〔责任编辑 张继金〕

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

洗衣机的自动控制原理

电气信息学院 课程设计报告 课程设计名称:电子技术课程设计题目:洗衣机的自动控制原理学院:电气信息学院 专业:电气工程与自动化 年级:2008级 学生:范宇 学号:312008********* 指导教师:邱晓初 完成日期:2010年7月16日

洗衣机的自动控制原理 摘要:从课程设计要求来看,要求实现电机的正转、反转、暂停,这些需要一个555多谐振荡器和多个的计数器来实现,从而完成洗衣机的漂洗。同时计数器之间的相互影响,清零、置数,通过对驱动电机的控制来实现脱水。同时为实现自动洗衣机的单独漂洗和单独脱水,采用个各种的门电机和开关。这样,可以人工手动控制计数器来实现对时间的设置,实现工作与停止之间的转换,从而对洗衣机的工作状态的控制。本次课程设计用个proteus 对其电路进行了仿真。同时主要要求对洗衣机自动控制原理的设计、仿真、各一些初步的调试。 关键词:洗衣机自动控制计数器触发器多谐振荡器 Abstract:Curriculum design point of view, required to achieve the motor forward and backward, pause, they need a more than 555 over the counter harmonic oscillator and to achieve, thus completing the washing machine's rinse. At the same time the interaction between the counter, clear, set the number of motor control by the drive to achieve dehydration. Automatic washing machine while a separate rinsing and dehydration alone, using a variety of door motor and switch. This can be achieved by manual control on the time counter set to achieve the conversion between work and stop to work on the washing machine state control. The curriculum design of its circuit with a proteus simulation. Automatic washing machine while the main requirements of the principle of design, simulation, the number of initial debugging. Keywords:washing machine, automatic control, counter, Trigger, Multi-vibrator

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

基于PLC全自动洗衣机控制电路设计

目录 一、设计课题: 全自动洗衣机控制电路设计。 二、课题内容: 全自动洗衣机运行框图及梯形图控制程序的编制,并进行硬件接线。 三、设计目的: 1.进一步掌握和巩固PLC控制的基本知识; 2.掌握PLC程序的设计及调试方法; 3. 熟练掌握PLC的硬件接线; 3.学会查阅有关专业资料及设计手册; 四、程序设计任务及要求 1.控制要求 (1)按下启动按钮及水位选择开关,开始进水直到高(中、低)水位,关水;(2)2秒后开始洗涤; (3)洗涤时,正转30秒,停2秒,然后反转30秒,停2秒; (4)如此循环5次,总共320秒后开始排水,排空后脱水30秒; (5)开始清洗,重复(1)-(4),清洗两遍; (6)清洗完成,报警3秒并自动停机;

(7)若按下停车按钮,可手动排水(不脱水)和手动脱水(不计数)。五、PLC机型 日本三凌公司的F系列PLC:FX1N系列。 六、控制全自动洗衣机的课题思路 按下电源开关,选择水位高低,当水位到达固定液位后洗衣机开始洗涤衣服。要使用P LC来实现洗衣机的全自动,它的输入设备主要有电源按钮,启动按钮,水位选择按钮(高、中、低),排水和脱水按钮等。输出设备主要有电源指示灯,水位选择按钮信号灯(高、中、低),进水、排水指示灯,洗涤电动机由控制要求,首先打开电源,用户根据衣服的多少和大小进行水位的选择,当水位达到固定液位,电动机开始正转、反转进行洗衣,第一遍洗衣 完成后自动排水、脱水开始再次洗涤,洗衣结束后蜂鸣器报警。七、全自动洗衣机控制程序流程图 全自动洗衣机控制流程图 八、全自动洗衣机I/O分配图

I/O地址分配表 九、PLC端子接线图 PLC外部接线图 十、全自动洗衣机控制梯形图 十一、程序运行功能简要分析 1.按下X1启动按钮,洗衣机开始运行; 2.如果按下X1,X2,X3其中下一个进水感应开关,选择高中低水位由行程开关X7、X10、X11控制水位高低,当水位到达开关快关闭合进入下一步骤。

简易洗衣机控制电路完美版

武汉理工大学《数字电子技术基础》课程设计说明书 1 Proteus软件简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。 1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发- 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、

全自动洗衣机电路原理图

全自动洗衣机电路原理图 全自动洗衣机就是将洗衣的全过程(泡浸-洗涤-漂洗-脱水)预先设定好N个程序,洗衣时选择其中一个程序,打开水龙头和启动洗衣机开关后洗衣的全过程就会自动完成,洗衣完成时由蜂鸣器发出响声。 全自动洗衣机由洗衣系统和控制电路组成。其控制电路分为机械和电脑型,电脑型控制电路是以单片机作为控制电路的核心。图1给出单片机Z86C09组成的全自动洗衣机的控制电路。 Ⅰ.自动洗衣机的洗衣程序 洗衣机面板上有4个按钮K1、K2、K5和K6。 K1用于水流选择,分两档:普通水流与柔和水流; K2用于洗衣周期选择,可以选择洗涤、漂洗和脱水三个过程; K5是暂停开关; K6是洗衣程序选择键。洗衣程序分为标准程序和经济程序。 洗衣机的标准洗衣程序是:洗涤——脱水——脱水——漂洗——脱水——漂洗——脱水。经济洗衣程序少一次漂洗和脱水过程。 1.涤过程 通电后,洗衣机进入暂停状态,以便放好衣物。若不选择洗衣周期,则洗衣机从洗涤过程开始。当按暂停开关键K5时,进入洗涤过程。首先进水阀FV通电,打开进水开关,向洗衣杨供水;当到达预定水位时,水位开关K4接通,进水阀断电关闭,停止进水;电机MO接通电源,带动波轮旋转,形成洗衣水流。电机MO是一个正反转电机,可以形成往返水流,有利于洗涤衣物。 2.脱水过程 洗涤或漂洗过程结束后,电机MO停止转动,排水阀MG通电,开始排水。排水阀动作时,带动离合器动作,使电机可以带动内桶转动。当水位低到一定值时,水位开关K4断开,再经过一段时间后,电机开始正转,带动内桶高速旋转,甩干衣物。 3.漂洗过程 与洗涤过程操作相同,只是时间短一些。 全部洗衣工作完成后,由蜂鸣器发出音响,表示衣物已洗干净。 Ⅱ.洗衣机控制器的硬件组成原理 洗衣机控制器由单片机Z86C09作为控制器的核心所构成,该控制器具有以下特点: (1)具有较强的抗干扰能力,当受到外部强干扰,程序出错时,可以自动使系统复位重新执行程序。 (2)采用无噪声、无电磁干扰的双向晶闸管作为控制元件,控制电磁阀和电机。 (3)具有欠压和过压保护,欠压时,控制器不工作;超压时,保护电路起作用。 (4)具有瞬间掉电保护功能,电源短时间停电后,电压恢复时,能够维持原运行程序的工作状态并继续完成洗衣程序。

洗衣机控制电路数电实验

2010—2011学年第二学期《数字电子技术课程设计》报告 课题:简易洗衣机控制电路 专业班级:自动化 09-1 姓名:仇涛 学号: 09051107 设计日期: 2011年7月7日~10日

目录 一、设计任务及要求-------------------------------------------------------------------------------2 (一)具体要求--------------------------------------------------------------------------------2 (二)输入输出资源说明--------------------------------------------------------------------2 二、设计原理与方案--------------------------------------------------------------------------------4 (一)顶层设计方案---------------------------------------------------------------------------4(二)分频器设计方案------------------------------------------------------------------------5(三)计时器(倒计时)设计方案---------------------------------------------------------5 (四)显示器设计方案------------------------------------------------------------------------5(五)暂停设计方案---------------------------------------------------------------------------5 三、电路设计、仿真与实现-----------------------------------------------------------------------6(一)顶层的设计实现------------------------------------------------------------------------6(二)分频器的设计实现---------------------------------------------------------------------8

全自动洗衣机控制电路

全自动洗衣机控制电路 摘要自19世纪中叶,美国人史密斯研制出世界上首台洗衣机至今,洗衣机的发展已经历了一个多世纪。1910年世界上第一台电动洗衣机问世,标志着人类家务劳动自动化的开始。在数字技术风行的今天,大多数的家用电器实现了数字化控制。1922年世界上第一台搅拌式洗衣机在美国诞生。1937年世界上第一台全自动滚筒式洗衣机投放市场。1957年三洋公司推出世界上第一台涡流式波轮洗衣机。从此,确立了搅拌式、滚筒式和波轮式三种工作方式洗衣机三足鼎立天下的局面。 关键词全自动洗衣机定时器 1 引言洗衣机是一种在家庭中不可缺少的家用电器,发展非常快,全自动式洗衣机因使用方便得到大家的青睐,全自动即进水、洗涤、漂洗、甩干等一系列过程自动完成。它的发明和应用使人们的洗衣工作变得省时又省力,很好地缓解了人们在家务劳动方面的压力。而在家电市场竞争日益激烈和利润下降的今天,各大家电生产厂商均致力于开发出能满足用户各种要求的智能家电产品,并努力降低生产成本以增强竞争力。 2 方案设计 洗衣机的主要控制电路是一个定时器,它按照一定制洗涤程序控制电动机 作正向和反向转动.定时器可以采用机械式,也可采用电子式.这里采用电 子定时器来控制洗衣机的运转(图A)。

图A 定时器来控制洗衣机的运转 2.1 设电动机用k 1和k 2两个继电器控制,继电器驱动电路如下图B 所示。洗涤定时间在0~10min 内由用户任意设定。 2.2 用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 如果定时时间到,则停机并发出音响信号。 2.3 当定时时间到达终点时,一方面使电动机停转,同时发出音响信号提醒用户注意。 2.4 洗涤过程在送入预置时间后开始运转。 图B 洗衣机电动机驱动电路 3 总体方案与工作原理 3.1 本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循环直至所设定的总定时时间到为止;依据上述要求,可画出总定时T 和电动机驱动信号Z1、Z2的工作波形如下图C 所示。 正转(10S) 暂停(10S) 反转(10S) 暂停(10S) 停止 定时未到 定时启动 定时到 Z 111Z 2 R b1 R b2 VT 1 3DG12 VT 2 3DG12 VD 1 VD 2 K 2 ~220 K 1 正转 反转

简易洗衣机控制器的设计与制作

题目:简易洗衣机控制器的设计与制作 专业班级:_______________ 班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下: 1. 定时到 洗衣机电机的工作顺序: 启动---- >正转20s -- >暂行10s --- >反转20s ---- >暂行10s --- >停止

定时未到 2.用4个LED模拟洗衣机的动作状态:LED1?LED4右移循环点亮表示正转,LED1?LED4左移循环点亮表示反转,LED1?LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发岀音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二?设计要求: 1.设计思路清晰,给岀整体设计框图; 2.设计各单元电路,给岀具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写岀设计报告; 主要设计条件 1.提供直流稳压电源、信号源、示波器等仪器; 2.提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3.提供电子综合实验装置。

说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图; 5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一?星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉

洗衣机自动控制电路设计与实现

武汉理工大学《数字电子技术基础》课程设计 课程设计任务书 学生姓名:杜炳谦专业班级:通信1006 指导教师:付琴工作单位:信息工程学院 题目: 洗衣机自动控制电路设计与实现 初始条件: 通过学到的知识,利用定时器、数码管、LED等数字电路器实现系统设计。要求完成的主要任务: 1.电路原理说明以及原理图的设计 2.设计电路的仿真,并给出仿真结果及分析 3.设计报告的撰写 时间安排: 指导教师签名: 2012年 6月 7 日系主任(或责任教师)签名: 2012年 6月 7 日

目录 目录........................................................................................................................ I 摘要.................................................................................................................... I Abstract ................................................................................................................. I I 1.引言 (1) 2.设计要求和实现的功能 (2) 3.设计原理与方案 (3) 3.1 方案选择 (4) 4.单元电路的设计 (5) 4.1秒脉冲发生器 (5) 4.2 分秒计数器 (6) 4.3 控制电路部分 (10) 4.4循环电路 (11) 4.5 总电路图 (13) 5.电路仿真结果 (14) 5.1 秒脉冲发生器 (14) 5.2 显示电路仿真结果 (15) 6心得体会 (19) 参考文献 (19) 附录 (21)

全自动洗衣机控制系统设计

分数: 华南理工大学广州学院 课程设计任务书 题目:全自动洗衣机的PLC控制系统设计 课程:PLC技能培训课程设计 专业:自动化 班级: 姓名: 学号:

第一部分任务书

《PLC技能培训》课程设计任务书 一、课程设计目的 编程序控制器(PLC)于20世纪60年代在美国诞生,在我国的发展与应用已有30多年的历史,现在它已经广泛应用于国民经济的各个工业生产领域,成为提高工业装备电气自动化水平的重要设备和强大支柱。因此,PLC技术已成为电气自动化专业技能型人才必不可少的重要技能,《PLC技能培训》课程是《电气设备与PLC》课程实践教学环节,通过实践,可以帮助学生加深对理论知识消化吸收,提高PLC编程技能。 该课程设计的主要目的是通过运用三菱FX3U 系列PLC设计一控制系统,掌握PLC控制系统设计原则、方法、过程和具体设计步骤。通过设计还有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备及控制系统的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 二、PLC应用系统设计的内容和步骤 1.设计原则 (1)系统应最大限度地满足被控设备或生产过程的控制要求。 (2)在满足控制要求的前提下,应力求使系统简单、经济,操作方便。 (3)保证控制系统工作安全可靠。 (4)考虑到生产发展和生产工艺改进,在确定PLC容量时,应适当留有裕量,使系统有扩展余地。 2.设计内容 )拟定控制系统设计的技术条件。1(. (2)确定电气传动控制方案和电动机、电磁阀等执行机构。 (3)选择PLC的型号。 (4)编制PLC输入、输出端子分配表。 (5)绘制输入、输出端子接线图。 (6)根据系统控制要求,用相应的编程语言(常用梯形图)设计程序。 (7)设计操作台、电气柜及非标准电气元件。 (8)编写设计说明书和使用操作说明书。 3.设计主要步骤 (1)分析被控对象的控制要求,确定控制任务. (2)选择和确定用户I/O设备. (3)选择PLC的型号.

洗衣机控制电路

一、概述 本设计要求完成洗衣机控制电路的设计。控制洗衣机按定时启动、正转20秒、暂停10秒、反转20秒、暂停10秒的洗涤模式不停的循环,到达定时时间后停止。并要求有数码管显示时间,LED显示状态,声音报警。 通过以上要求,洗衣机洗涤模式是以60秒钟即一分钟作为循环。计时方式是通过预置时间定时,因而初步设想使用一个六十进制倒计时电路作为核心控制。预置时间以分为单位,则还需要分计时器,并且要能预置时间。同时,时间的计时按秒来进行,则需要用一个妙信号发生器。最后,用两位数码管作为时间显示电路,三个LED灯作为状态显示电路,蜂鸣器作为报警电路。根据以上分析,设计电路基本框图入下: 二、方案论证 计时器芯片选择 采用74LS192芯片实现 中规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加减计数器,它采用8421码二·十进制编码,并具有直接清零、置数。加/减计数功能,为双时钟芯片,计数采用DOWN时钟,而置数可以采用UP时钟,因而置数显得十分简单,是很好的选择。

显示电路选择 显示电路用单位的数码管和相适应的显示译码器,这儿采用共阴极的单位数码管,应用十分简单。 状态显示电路 使用三个不同颜色的数码管来表示不同的洗涤状态,通过74LS138作为译码器,来实现该功能。 经过以上分析,计时电路采用四片74LS192实现,两片组成六十进制计数器实现秒信号计数,当计满六十秒后向前借位。另外两片构成一百进制计数器,来计数秒的借位信号,同时实现预置数功能。数码管、报警电路和LED灯分别与逻辑电路进行组合,接到74LS192的输出,以完成时间显示、报警和状态显示,洗衣机控制则使用74LS138作为译码输出进行不同的组合,完成控制。 其中显示电路实际连接为: 图1 显示电路具体图 三、电路设计 计时电路 我们用四片74LS192来实现分计数和秒计数功能,对于秒信号,我们要的只是减计数,所以我们把两片秒计数的74LS192芯片的UP端接到高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN联在一起。由图3.2.3可知,LD低电平有效,产生借位信号时,BO也是低电平有效。当秒脉冲从秒位的DOWN端输入的时候秒计数的74LS192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,

51单片机自动洗衣机控制系统

项目一 洗衣机控制 一、项目内容及要求。 内容: 设自动洗衣机的控制要求是:启动后先开启进水阀进水,水位到达设定值后关闭,然后波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止,之后打开排水阀放水,水放完后洗衣桶旋转脱水,1分钟后停止并关闭排水阀,洗衣过程结束。要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调,以1分钟为单位,排水时间定为1分钟。运动执行器用指示灯模拟,水位用开关模拟,试设计该洗衣机的控制电路。 要求: ⑴画出控制系统的框图,说明系统方案设计的思路、理由或依据; ⑵选择、确定组成控制系统的各个单元,并阐述选择确定的原则或依据; ⑶画出完整的电气原理图,介绍整体电路的工作原理、性能或特点; ⑷如采用单片机控制,给出单片机程序的流程图和清单,说明程序的工作原理。 ⑸制作实物电路,验证设计、制作是否正确。

二、题目分析及设计思路。 1.技术要点: ①要对自动洗衣机的整个流程要清楚,要清楚哪些步骤比较重要。对于洗衣机优先级最高的应该是暂停这个功能。因为一旦当洗衣机盖子被打开或者发生什么意外情况,洗衣机必须马上停止工作,这也是出于对安全问题的考虑。 ②洗衣机在洗衣的过程中波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止。当到了设定的时间以后洗衣机便要进入下一个的洗衣流程。 ③设置洗衣机时间和水位必须要显示结果,方便用户查看。 ④单片机程序中的设计洗剂时间这些要做到比较精确,这样才能保证洗衣机能将衣服洗的比较干净。 ⑤水位设置的处理,因为考虑到身边没有水位传感器,这里我用限时的方法来完成低、中、高三个水位的设置。 ⑥进水和出水的电磁阀我这里都是用LED灯显示来代替了,进水和出水我会将对应的LED点亮来表示进水和出水。 三、方案设计说明。 本系统实现了对洗衣机整个洗衣过程的控制,包括用户参数输入、洗衣、排水和脱水等阶段。控制系统主要由电源模块、单片机控制系统和外部硬件电路构成。电源采用三端集成固定稳压器7805提供+5V

简单洗衣机控制基于单片机

1.用单片机控制洗衣机 通信1411 于波 2.项目名称:项目九:洗衣机控制 3.项目内容及要求; 内容: 设自动洗衣机的控制要求是:启动后先开启进水阀进水,水位到达设定值后关闭,然后波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止,之后打开排水阀放水,水放完后洗衣桶旋转脱水,1分钟后停止并关闭排水阀,洗衣过程结束。要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调,以1分钟为单位,排水时间定为1分钟。运动执行器用指示灯模拟,水位用开关模拟,试设计该洗衣机的控制电路。要求: 1.画出控制系统的框图,说明系统方案设计的思路、理由或依据; 2.选择、确定组成控制系统的各个单元,并阐述选择确定的原则或 依据; 3.画出完整的电气原理图,介绍整体电路的工作原理、性能或特点; 4.如采用单片机控制,给出单片机程序的流程图和清单,说明程序 的工作原理。 5.制作实物电路,验证设计、制作是否正确。 4.题目分析及设计思路;(弄清楚要完成设计的技术要点、关键是什 么,有哪些需要注意的事项,可以采用哪些方法和手段,有哪些可用的方案或电路形式,等等。)

要完成本项目设计的技术要点是对洗衣机的整个运行流程深刻理解之后,再用程序将他表现出来,说以关键就在于程序的编写。需要注意的是运动执行器是用指示灯模拟,水位用开关模拟,还要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调所以大概就要用到6个发光二极管和8个复位按键,因此就要对整个流程控制的逻辑顺序有个很好的设计。对于程序的编写可以采用单片机或PLC (就目前所学而言),而对于这样一个简单的小程序就采用单片机即可。 5. 方案设计说明;(在充分调研、思考的基础上确定电路方案并说明 理由。画出电路的系统框图,说明系统框图的原理。) 一开始看到这个题目我就打算使用单片机来完成本设计,因为单片机使用方便,只需一个最小系统即可,在宿舍就可完成对程序的编写和修改,而PLC 价格昂贵且不能随时可用。就本项目采用单片机而言需要一个单片机最小系统,思维数码管及驱动,一组LED 和按键。 系统框图: 通过对八个按键的操作,可对水位设置,洗衣时间设置,开始洗衣,水位确定。四位数码管可显示水位的设置值,洗衣时间设置值,还有洗衣时间计时等。六个LED 有两个表示洗衣机波轮的正反转,两 MCU (AT89C51) 四位数码管 八 个 控 制 按 键 六个LED 指示灯

全自动洗衣机系统控制电路分析

系统控制电路分析 电路中选用AT89C205的P1.0-P1.3共4根I/O线通过4块SP1110型固态继电器,分别直接驱动洗衣机的进水阀、排水阀,以及电动机的正反转。SP1110是一种交流继电器,内置发光二极管和光触发双向可控硅,10-50mA输入电流即可使双向可控硅完全导通,输出端通态电流为3A(平均值),浪涌电流15A(不重复)。选用交流固态继电器,既简化了电路,又使强弱电完全隔离,保证了主板的安全。 图中的74LS05为反相器,用作中间缓冲器,其中4个通道分别驱动4个SP1110固态继电器,另外2个通道用于驱动指示灯LED5和LED6。 图中的74LS139为双“2-4”译码器,选用它可解决CPU中I/O线数据不足的问题。从功能要求可知,洗衣机有4种工作程序,需要用4种不同的显示来区别。74LS139只要CPU的P3.0和P3.1两根线即可提供4种不同的驱动。其逻辑关系是:P3.0、P3.1为“11”时,LED1亮,指示标准程序;为“10”时,LED2亮,指示经济程序;为“01”时,LED3亮,指示单独程序;为“00”时,LED4亮,指示排水程序。 洗衣机的暂停功能(暂停键S6)、安全保护与防震功能(盖开关S3)均采用中断方式处理。这两个分别对应CPU的外部中断0(P3.2引脚)和外部中断1(P3.3引脚)。中断请求信号通过TC4013BP双D触发器的两个Q端,分别加到CPU的P3.2和P3.3,并由触发器锁存,直到CPU响应结束为止。开盖(安全保护)或不平衡(防震动)中断信号(都会引起盖开关S3的闭合),通过由V1和V2组成的反相器送至TC4013BP的CLK端,经触发器的Q端加到CPU的P3.3。 为了充分利用CPU的I/O口线,P3.4和P3.5采用分时复用技术,每根线具有2个功能。在洗衣机未进入工作状态或洗衣机处于暂停状态期间,P3.4为输入线,用于监测起动键的状态;当起动键按下时,洗衣机即进入工作状态或从暂停状态恢复到原来的工作状态;当洗衣机暂停导致CPU响应中断时,P3.4为输出线,待中断处理完毕时,由P3.4发信将D触发器输出的中断请求信号撤销。在洗衣机进水或排水期间,P3.5被用作输出线,用于监测水位开关状态,为CPU 提供洗衣机的水位信息;在洗衣机高速脱水期间,当发生开盖或不平衡导致CPU 响应中断时,P3.5为输出线,待中断处理结束后,由P3.5发信将D触发器输出的中断请求信号撤销。 CPU的P3.7用于驱动蜂鸣器发出各种报警声音。CPU的第4、第5脚外接6MHz 的晶振。第1脚通过10uF电解电容接到+5V电源,可实现电自动复位,S7为强制复位键。 洗衣机的强弱洗涤可通过S1键进行循环选择。S1键还具有第二功能,即当洗衣机发生故障转入报警程序后,按下S1键可以退出报警状态,回到初始待命状态。洗衣机工作程序可通过S2键循环选择。 洗衣机的工作状态可通过LED7-LED9进行显示。脱水期间,系统在响应开盖或不平衡中断后,CPU采用软件查询的方式,通过P1.6脚对盖开关进行监测,以确定洗衣机是否继续继续脱水操作。 洗衣机的电气控制电路如图所示

课程设计 简易洗衣机控制器

课程设计简易洗衣机控制器 现代社会人们的生活水平越来越高,洗衣机作为一种方便人们洗涤的设备进入了千家万户。洗衣机控制器的设计也变的越来越重要。随着大规模集成电路技术的发展,人们的日常生活越来越数字化和集成化,洗衣机控制器的发展也在不断的前进。 从课程设计要求来看,本次设计用到了我们熟悉的555定时器构成的多谐振荡器来产生脉冲,74LS192芯片,数码管等电路器件,理所当然的分钟计数器、秒计数器是一定要有的。通过一些基本的电路元件(电阻、电容)和门电路(非门、或门、与门、与非门、异或门)将各个电路器件连接起来,除了这些,还要求在定时结束后有一个指示灯来提示定时时间到,还要求电路有清零和指数的功能,这些用逻辑电平开关即可以实现。 1、设计任务和指标 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作,具体指标如下: 图1、洗涤模式 (1)当定时时间达到终点时,一方面使用电机停机,同时发出音响信号(可换成指示灯)提醒用户。 (2)用两位数码管显示洗涤预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。 2、设计原理和参考电路 (1)设计方案 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作,当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。该方案的原理框图如图2所示。

简易洗衣机控制器

I 简易洗衣机控制器 摘要: 尽管洗衣机有了很大发展,但消费者的需求不断提高。为使洗衣机的操作更方便、更人性化,根据设计的要求主要采用单片机设计简易洗衣机的控制系统,控制电动机正反转的时间,在对洗衣总时间进行设定后,洗衣机能完成洗衣过程。它的CPU 采用51单片机,根据设计需要增加一些按键设定时间和数码管显示时间。在满足输入/输出接口及其他硬件的情况下,再进行软件设计。首先是了解其工作流程,绘制功能流程图。然后,制作硬件编写程序实现。本设计主要实现了对洗衣机的定时设置,及控制洗衣机暂停和开始,能显示计时时间,计时结束能发声报警。 关键词 洗衣机、控制、单片机 Abstract :In spite of the washing machine has made great development, but the demands of consumers. To make washing machine operation more convenient, more human, according to the requirement of the design is mainly design simple washing machine control system by single chip microcomputer, the control motor and reversing time, after set laundry total time for washing machine can finish the laundry process. Its CPU USES 51 single chip microcomputer, according to the design needs to add some buttons to set time and digital tube display time. In meet the under the condition of input/output interface, and other hardware, and software design. First of all is to understand its working process, flow chart drawing function. Then, make the hardware programming implementation. This design mainly realized the timing set of washing machine, washing machine and control pause and start, can show the timing time, end time can voice alarm. Key words :Washing machines, control, simple and easy

简易洗衣机控制电路(完美版)..

1 Proteus 软件简介 1.1概述 Proteus软件是英国Labcenter electronics公司出版的EDA 工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA 工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus 是世界上著名的EDA 工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB 设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB 设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、 PIC10/12/16/18/24/30/DsPIC33、AVR、ARM 、8086 和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR 、Keil 和MPLAB 等多种编译器。 1.2具有四大功能模块: 1.2.1智能原理图设计( ISIS)丰富的器件库:超过27000 种元器件,可方便地创建新元件;智能的器件搜索:通过模糊搜索可以快速定位所需要的器件;智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰;输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP 图纸,可以方便地供WORD、POWERPOINT 等多种文档使用。 1.2.2完善的电路仿真功能( Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000 个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件;多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav 文件)、

简单全自动洗衣机控制系统分解

单片机系统 课程设计课程设计名称:基于89C51的全自动洗衣机控制系统

单片机系统课程设计任务书

目录 1 引言 (4) 2 总体方案设计 (5) 2.1硬件组成 (5) 2.2 方案论证 (6) 2.3 总体方案 (7) 3 硬件电路设计 (8) 3.1 单片机及其外围电路 (8) 3.2 显示电路 (9) 3.3 执行电路 (10) 4 系统软件设计 (12) 4.1 主程序设计 (12) 4.2 中断服务程序设计 (13) 4.3 部分主要子程序的设计 (14) 5 系统调试与总结 (17) 5.1 系统功能测试 (17) 5.2 技术指标测试 (18) 5.3总结 (18) 参考文献 (19) 附录A 系统原理图 (20) 附录B 源程序 (21)

1 引言 洗衣机是人们日常生活中常见的一种家电,已经成为人们生活中不可缺少的家用电器。随着社会的发展,洗衣机正朝着智能化、水流方式多样化、洗衣方式创新化、设计更趋人性化四大特征方向发展。传统的电气控制已经不能满足现状的要求了。智能化的控制取代了传统的工业控制已是大势所趋了。 随着先进科学技术发展,应用于洗衣机上的技术越来越成熟,洗衣机的发展也越来越快,将来的洗衣机主要主要朝以下几个方面发展: (1)高度智能化; (2)人性化; (3)节水节能; (4)大容量和微型化; 本次设计主要采用单片机原理及其接口技术来设计全自动洗衣机控制系统,跟传统的洗衣机相比更具有智能,实时监控,人性化的功能。本系统最大的优点集中体现在:实现功能齐全、外围电路简单、时间计算精确以及可维护方便等。具有可靠性高、安全性好、开发价值高等一系列优点。 如图1.1所示,全自动洗衣机包括启动按钮、进水口、控制器、洗涤桶、波盘、排水口、洗涤电机等组成。当洗涤衣服时,按下启动按钮,由单片机控制进水泵从进水口进水,进水五分钟后,由单片机控制进水泵停止工作;然后由单片机控制洗涤电机转动进行洗涤,洗涤二十分钟后,单片机控制洗涤电机停止工作;接着,单片机控制排水泵开始工作,使洗涤衣物后的污水从排水口排出,排水五分钟后关闭排水泵,洗涤结束。

相关文档
最新文档