ADS中负载牵引的一些心得

ADS中负载牵引的一些心得
ADS中负载牵引的一些心得

ADS中负载牵引的一些心得

加入ADS群半年多来,不时在群里面碰到有人问做负载牵引时出现的不收敛问题,虽然自己也自告奋勇的出来聊几句,奈何自己的文采有限,无法说清楚这个问题。其实我自己半年前也曾为此问题困扰一个星期之久,幸好有群里面的流星和羽纤二位大哥的指点,二位大哥对我在ADS操作和射频微波功放方面的困惑进行了无私的指点,加快了我完成了雷达发射机的研制进程,对此我深表感谢。前些时候,群里面部分同样如我半年前一样在负载牵引上遇到困惑的人邀请我写一个实例,用这个实例来说明如何解决负载牵引中碰到的不收敛问题,由于这几天我刚接手了另外两个雷达发射机的研制项目,一直没有抽出足够的时间来写,今天有时间就做个注解,写得不好,不要介意,但愿本文档对一些刚入门的新手在碰到负载牵引时出现的不收敛问题能提供一些帮助。

下面我以freescale的新一代功放管MRF6V2300作为例子来说明负载牵引问题。MRF6V2300是freescale推出的新一代功放管,CW输出功率为300W,额定漏工作电压为50V,工作频率为10-600MHz,价格仅500元一只,是米波雷达和广播电视发射机的廉价实用管子。其DATASHEET上提供了三个典型的频率点的输入和输出阻抗:27MHz,220MHz,450MHz。

参考静态偏置电压典型值为2.6V时,其静态偏置电流典型值是900mA,因为比较容易,这里面我不作静态电流的仿真了,直接采用静态偏置电压2.6V(ADS 仿真结果是919mA)。

先仿真频点f1=27MHz,datasheet上显示其输出负载Z load=3.50+j*0.19。我们打开ADS,新建一个空白原理图,在其工具栏的DesignGuide上点击下拉菜

单中选择Amplifier点1-Tone Nonlinear Simulations展开,选择Load-Pull-PAE,Output Power Contours然后点击OK按钮就行了,出来如图1-1所示的原始原理图和图1-2所示的原始仿真图:

图1-1 Load-Pull 原始原理图

图1-2 Load-Pull 原始仿真图

对图1-1,我们首先更换管子成我们要测试的MRF6V2300N,把两个图标都

换上,然后输入功率Pavs改成20dBm,频率RF freq改成27MHz,漏电压Vhigh 改成50,栅压(偏置电压)改成2.6,其它都不变,如图1-3所示:

图1-3 更换成MRF2300N后的原理图

这里面输入功率之所以选择20dBm是因为在这么的频率,其输入输出阻抗都比较大,其增益很高,稍微大点其输出就饱和了,有可能会导致不收敛,不利于以后的调整,接着点仿真按钮,结果如图1-4所示:

图1-4 换成MRF6V2300N后的仿真图

从图1-4可以看到,在输入为20dBm的情况下,其输出已经达到了53.79dBm了,这离其典型输出功率300W(54.7dBm)已经很接近,但我们从图1-4的左下角的坐标图中看到

其功率圆和效率圆的圆心都没有显示出来,对图1-4左下角的坐标图局部放大如图1-5所示:

图1-5 左下角坐标图局部放大图

从图1-5中,我们可以看出,其功率圆和效率圆的圆心在图的正左方,我们重新设定图1-1中原始图的圆心,我们大胆猜测其圆心s11_center为-0.75+j*0.0,半径s11_rho为0.2,并将采样点数改为500,如图1-6所示:

图1-6 重新设置圆心和采样点数

为何半径要设置成0.2呢?而不是0.3或者更大呢?大点不是好吗?半径大点能把所有可能的情况都仿真进去,何乐而不为呢?不行!因为要撑破的!一个原则是你仿真的范围不

能超过1!也就是你坐标圆的圆心加半径不能超过1!为保险起见,二者之和最大为0.99!

2+(0.0)2]0.5+0.2≤0.99,个人喜欢两者之和为0.99,因为某些也就是说[(-0.75)

管子输出功率很大,其输入输出阻抗很小,不到0.99功率圆和效率圆可能没出来!如本例,如果半径是0.3的话,就不收敛了!为何采样点数为500?这个···那个···纯属个人喜好,如果你喜好,你也可以改成1000,不过这样你的电脑反应速度就慢了,电脑差点,单核的话可能要花好几分钟时间。如果你是四核或八核,那你可以设置的大点如2000都没有关系,反正你电脑好爱咋咋地,你就折腾吧!不过采样点数大了,有时候会不收敛的,一般500点左右比较好收敛!这

(想发财的朋友可以改成888点哈!)这样经过25.38也是我喜欢用500点的原因!

秒时间后(我的机子速度好慢啊,如果你仿真时间少于我,那只能说明你的电脑比我的好,不能代表你的水平比我高!如果你的仿真时间比我多,那你太弱了,

说明你该换电脑了!),仿真结果出来了,如图1-7所示:

图1-7 改变半径、圆心和采样点数后的仿真结果

从图1-7可以看出,效率圆(红色)和功率圆的圆心都出来了,最大输出功率是54.48dBm,最大效率是74.74%,可见输出功率已经接近额定输出功率54.7 dBm(300W)了,我们可以继续加大功率,把输出功率加大到300W了。别急,急了吃不了热豆腐!我们的目的是什么来着?测出最大输出功率对应的输出阻抗和效率!所以我们还得继续从图1-7中找些有用的信息来!别忙,我们先局部放大图1-7中的右下角的图如图1-8所示:

图1-8 仿真结果图右下角放大部分

为什么用右下角的图?而不是左上角的图?纯属个人喜好,我就不喜欢某些高高在上的人,就算图我也不喜欢高高在上的那两幅,而喜欢很谦虚的下面两幅,下面两幅图我用着舒坦!相信等我介绍完了下面两幅图的妙处之后,你也会喜欢底下哥俩的!好,现在把最大输出功率框图移到移动到图标m3附近,这样看得清楚些,如图1-9所示:

图1-9 移动功率框图后到m3光标附近

好了,你现在可以放大图1-9中的功率输出图,放大后如图1-10所示:

图1-10 输出功率圆放大图

现在你可以很方便地移动光标m3了,把m3移动一直到附近最大输出功率显示为54.48dBm,看到了吧,你体会到好处了吧,这个最大输出功率就显示在你要移动的光标附近!如图1-11所示:

图1-11 最大输出功率局部放大图

这样,你可以很方便的就吧m3移动到输出功率最大处,只需几秒种!然后你在缩小右下角的图如图1-12所示,从图1-12你可以知道其对应的输出阻抗。

图1-12 输出阻抗显示图

从图1-12中可以看出最大输出功率(54.48dBm)时,输出阻抗为4.486+j*0.812,效率为62.54%。之所以采用右下角那个图,是因为右下角那个图精度很高(不像左上角那个图,精度很差,简直不可忍受!)

好了,现在可以加大输入功率了,为了测试出300W时候的输出阻抗,必须加大输入功率!现在增加输入功率到21 dBm,其它不变如图1-13所示:

图1-13 加大输入功率后的原理图

加大输入功率后的仿真结果如图1-14所示,从图1-14可以看出,其最大输出功率为54.82dBm(303.39W)。

图1-14 加大输入功率后的仿真图

我们再一次对图1-14的m3的光标进行移动。使其移动到附近最大输出功率框图显示为54.82dBm(303.39W),如图1-15所示:

图1-15 移动到最大输出功率的功率圆和光标

现在,再次缩小仿真结果图,你只要用鼠标的滚轮往下滚就行了,你就可以看到如图1-16的300W输出所对应的阻抗和效率了,其输出阻抗是Z load=3.932+j*0.795,效率为60.54%。这就是我们我们要的最终结果!

图1-16 放大后的右下角最大输出功率对应的输出阻抗

这个结果(Z load=3.932+j*0.795)和datasheet上面的典型值(Z load=3.50+j*0.19)非常接近,之所以不同是因为输入匹配不一样的时候,其输出阻抗是不一样的,这里面仿真所用的输入并没有完全匹配的结果。输入功率和输入阻抗的变化都会影响输出阻抗的!一般来说,我们在典型值附近的匹配用负载牵引测出来的阻抗没有典型值的好,还不如用典型值的,只有那些离典型值比较远的地方我们才去做负载牵引,尤其是做宽带功放的时候,做负载牵引是必须的!

好了,用了一个晚上的时间,终于把这个心得写出来了,时间是晚了点,对此表示歉意。

牵引电机课程设计

1 题目 某牵引变电所位于大型编组站内,向两条复线电气化铁路干线的两个方向供电区段供电,已知列车正常情况的计算容量为22000 kV A (三相变压器),并以10kV 电压给车站电力照明机务段等地区负荷供电,容量计算为2200 kV A ,各电压侧馈出数目及负荷情况如下:25kV 回路(1路备):两方向年货运量与供电距离分别为 kM 50Mt 30L Q 11??=,kM 30Mt 40L Q 22??=,kM Mt 10kWh 120Δq ?=。10kV 共4回路(2路备)。 供电电源由系统区域变电所以双回路110kV 输送线供电。本变电所位于电气化铁路的首端,送电线距离30km ,主变压器为SCOTT 接线。 2 题目分析及解决方案框架确定 2.1 牵引变压器台数和容量的选择 三相牵引变压器的计算容量是由牵引供电计算求出的。本变电所考虑为固定备用方式,按故障检修时的需要,应设两台牵引用主变压器,地区电力负荷因有一级负荷,为保证变压器检修时不致断电,也应设两台。 由已知牵引负荷量,可知25kV 侧的额定电流e I 为 =e I U 3S/=523(A)25)3(22000=? SCOTT 变压器计算容量公式为: 当Mx Tx I I >时: (kV A)2UI S Tx = 当Tx Mx I I >时: 2Tx 2 Mx I 3I U S +=(kVA) 校核容量公式为: 当Mmax Tmax I I >时: (kV A)2UI S Tmax bmax = 当Tx Mx I I >时: 2Tmax 2 Mmax bmax I 3I U S +=(kVA) (kV A)k S S bmax 校核=(k=1.5) 方案A :当Mx Tx I I >时,假设M I =0、T I =Tx I (kV A)2UI S Tmax bmax =29150(kVA)523252=??= 当Tx Mx I I >时,假设T I =0,M I =Mx I 2Tmax 2Mmax bmax I 3I U S +==A)23875.9(kV 25523305233252=??=+?? 校核容量为取两者较大的,所以:29150(kV A)S bmax = (kV A)k S S bmax 校核==19767(kV A)1.529150=

Debussy 仿真快速上手教程

快速上手五部曲: (Debussy v.5.2) 1. Import Files and generate FSDB file 2. Trace between hierarchy browser and source code 3. Trace between hierarchy browser、source code and schematic 4. Trace between hierarchy browser、source code、schematic and waveform 5. nLint (nState本文没介绍,有兴趣的读者,请依文后的连结,自行下载CIC所提 供的NOVAS原厂编写教材参考) 1. Import Files and generate FSDB file 1.1 启动Debussy:% Debussy &(此处的D大小写都可以,但其它指令的大小写 可能就有差别) 开启nTrace window如下,此时工作目录下会新建一个"DebussyLog"目录

1.2 Import Files:File \ Import Design

结果如下图所示:nTrace视窗中,含有三个区域,Hierarchical Brower、Source code window、Message window。 (如果不想看波形,只想查看source code与schematic的关係,您可以直 接跳到step 2.1) 此时DebussyLog目录内会有Debussy.cmd、turbo.log、compiler.log三个档案。Debussy.cmd纪录所有import的档案与之后所有的执行程序。我们可以直接引用这个档案来执行上一次我们做过的一连串程序(refer to 1.5)。 1.3 设定外部模拟器,藉其產生FSDB file: Tools \ Options \ Preferences

牵引供电系统知识点201701225

《牵引供电系统》知识点 1、轨道交通的供电制式:直流制、单相工频交流制、单相低频交流制。不同供 电制的牵引供电系统结构,应用范围。 2、电气化铁路负荷等级和对进线电源的要求:一级负荷,牵引变电所有两路独 立进线电源 3、我国电气化铁路的供电制式?单相工频交流制牵引供电系统组成。 单相工频交流供电制式;单相工频交流制牵引供电系统组成:牵引变电所和牵引网组成。牵引网:由馈线、接触网、轨地回流线等组成。 4、牵引网为什么会出现分相?电分相绝缘装置设置在什么地方?有什么作 用? 因为牵引变压器将电力系统的三相电变为两相电,所以会出现分相;将分相绝缘器主要设置在牵引变电所出口处和分区所处;目的:把两相不同的供电区分开,并使机车光滑过渡。 5、牵引供电系统供电方式:直接供电方式,BT供电方式,带回流线的直接供电 方式,AT供电方式。基本电路原理图,优缺点。 直接供电方式:牵引电流通过电力机车后直接从钢轨或大地返回牵引变电所。 结构简单,投资最少,维护费用低。在负荷电流较大的情况下,钢轨电位高; 对弱电系统的电磁干扰较大。 BT供电方式:在接触网和回流线中串接吸流变压器,让牵引电流通过电力机车后从回流线返回牵引变电所。电磁兼容性能好,对周围环境影响小,钢轨电位低。 带回流线的直接供电方式:相对直接供电方式,钢轨电位和对通信线路的干扰有所改善。钢轨电位降低;牵引网阻抗降低,供电距离增长;对弱电系统的电磁干扰减小相对BT方式,结构简单,投资少,维护费用低;牵引网阻抗减小,供电距离增长 AT供电方式:能显著降低电气化铁路对通信线路的干扰,由于长回路电压提

高1倍,因此在同样的牵引功率下网上电流减小,电压损失、功率损失下降,牵引变电所间距变大。 6、牵引供电系统与牵引负荷的特点,分别给电力系统带来哪些电能质量问题? 牵引供电系统的负荷特性,主要取决于电力机车的电气特性、铁路线路条件和运输组织方案等因素。 牵引变电所负荷具有如下特点:负荷波动频繁、负荷大小不均衡、负载率低、牵引变电所供电能力适应最大负荷需要。给电力系统带来了负序和谐波等电能质量问题。7、如何改善各个电能质量问题?有哪些措施? 8、系统短路容量跟哪些因素有关?系统短路容量对各项电能质量指标有哪些 影响? 9、牵引供电系统电压水平的规定:机车/动车组、接触网、变压器额定电压,最高电压和最低电压 10、电压损失和电压降的概念及计算方法。 11、馈线电流的计算方法:负荷过程法(计算机仿真法)、统计法(同型列车法)、概率分布法 12、纯单相变压器、Vv接线变压器、YNd11接线变压器、Scott接线变压器(1)接线原理 (2)根据换相要求确定次边牵引端口的电压相别,从而将原边接入合适的相别(3)原次边电流变换关系 (4)归算到牵引侧的等值电路 (5)在负荷相同的情况下,变压器容量有什么不同? (6)原边负序电流的计算 (7)在负荷相同,接入系统电源相同的情况下,不同接线牵引变压器负序影响有什么不同? 13、三相-两相平衡变压器的特点? 14、牵引网阻抗计算的目的? 确定牵引网压损,校验运行时网压水平;计算短路阻抗、短路电流,确定继电保

modelsim仿真小结

Modelsim仿真小结 Modelsim的基本仿真流程大致分以下几个步骤:建库、编译工程、前后仿真、调试等。Modelsim仿真既可以在modelsim界面操作,也可以用do文件实现,这里结合学习的教程、网上看到的资料,和实际遇到的一些问题,分别做一整理小结。 1.建库 建库包括Altera库和Xilinx库,同时都包括Verilog和VHDL。这里只建了Verilog库,VHDL和Verilog步骤相同。 对于Altera库主要包括lpm元件库、Mega_Function库atera_mf、altera原语库altera_primitive和各器件系列模型库。前三种是调用altera模块的必备库,第四种是进行综合后功能仿真和布线后时序仿真需要的库,和器件系列有关,只选对应系列即可。 Altera库创建和编译步骤如下: a)在Modelsim安装目录下新建文件夹,命名altera_lib,以存放编译后的库文件,可 以在altera_lib下新建Verilog和VHDL两个子文件夹,分别存放Verilog和VHDL库。 b)打开Modelsim,新建Library,file ->new->library .. c)如下图,创建lpm库,路径E:\modeltech_10.1a\altera_lib\Verilog\lpm

d)添加库文件,并编译,compile -> compile …,出现compile source files窗口, library 指定到lpm下,查找范围,选quartus安装目录下… eda\sim_lib目录里的仿真原型文件:220model.v ,点c ompile ,点done。 到此,lpm库建立完毕。 e)同理,建立altera_mf库添加altera_mf.v ,建立primitive库添加altera_primitive.v 建立各系列的模型库,命名可用系列名加_ver“xxx_ver”,也可随意吧,添加各系列的xxx_atoms.v。 这里,也可以把以上库放在一个文件夹,这样做简单,一次就搞定,分开也就是条理清楚,没人去看,所以没必要。 f)修改modelsim.ini文件,为的是让modelsim能自动map到已经编译的这些库上。 先去掉只读属性,在[Library]和[vcom]之间加上:库名=库路径[绝对路径或者相对路径],相对路径是相对于modelsim安装路径,modelsim安装路径用$MODEL_TECH 表示。 这里所有库都放在E:\modeltech_10.1a\altera_lib下,库名是altera_lib,这里只添加一句:altera_lib = E:\modeltech_10.1a\altera_lib g)保存退出,改回modelsim.ini只读属性,防止乱改。至此altera库建立完毕。 Xlinx库创建和编译步骤如下: 相比altera,xilinx建库很方便。xilinx有批处理命令,直接在console命令窗口,输入compxlib命令,调出编译库的窗口,先改掉modelsim安装目录下modelsim.ini只读属性。设置如下图:

牵引供电系统简介

牵引供电系统简介: 将电能从电力系统传送给电力机车的电力装置的总称叫电气化铁路的供电系统,又称牵引供电系统,主要由牵引变电所和接触网两大部分组成。牵引变电所将电力系统输电线路电压从110kV(或220kV)降到27.5kV,经馈电线将电能送至接触网;接触网沿铁路上空架设,电力机车升弓后便可从其取得电能,用以牵引列车。牵引变电所所在地的接触网设有分相绝缘装置,两相邻牵引变电所之间设有分区亭,接触网在此也相应设有分相绝缘装置。牵引变电所至分区亭之间的接触网(含馈电线)称供电臂。 牵引供电回路是由牵引变电所——馈电线——接触网——电力机车——钢轨——回流联接——(牵引变电所)接地网组成的闭合回路,其中流通的电流称牵引电流,闭合或断开牵引供电回路会产生强烈的电弧,处理不当会造成严重的后果。通常将接触网、钢轨回路(包括大地)、馈电线和回流线统称为牵引网。 牵引供电设备的检修运行由供电段负责,牵引供电系统的运行调度则由供电调度负责。供电调度通常设在铁路局调度所。 牵引供电系统供电示意图如下所示: 二、牵引变电所、分区所、开闭所 牵引变电所:牵引变电所的任务是将电力系统三相电压降

低,同时以单相方式馈出。降低电压是由牵引变压器来实现的,将三相变为单相是通过变电所的电气接线来达到的。 牵引变压器(主变)是一种特殊电压等级的电力变压器,应满足牵引负荷变化剧烈、外部短路频繁的要求,是牵引变电所的“心脏”。我国牵引变压器采用三相、三相——二相和单相三种类型,因而牵引变电所也分为三相、三相——二相和单相三类。 随着技术水平的提高,我国干线电气化铁路已推广使用集中监视及控制的远动系统,牵引变电所将逐步实现无人值班,直接由供电调度实行遥控运行。 分区所:分区所设置在两个变电所中间,作用有三:提高供电质量、供电分段、越区供电。 ?开闭所:一般设置在大型站场附近,进线由变电所或接触网引入,由开关馈出多个供电线路向多个供电设备供电。作用是增强供电的灵活性,便于供电设备的运行及检修,便于行车组织,缩小供电事故及故障范围。 ? ? 三、接触网 接触网是沿铁路沿线架设的特殊电力线路,电力机车受电弓通过与之滑动摩擦接触而授流,取得电能。所以两者均应保持良好的工作状态。

牵引供电专题设计报告

牵引供电专题设计报告HXD型电力机车电气负荷特性统计分析 姓名: 学号: 班级: 指导老师:

一、HXD2电力机车主电路系统的构成及 功能原理 1.网侧电路的组成 2.牵引电路 二、谐波产生的原因 1.电力机车谐波 2.电力机车电气化用电特征 3.电力机车供电系统谐波的产生 三、结合实测结果统计分析HXD2B0421 的电气负荷特性 1.实际线路上的功率曲线、电流有效值 曲线 2.谐波特性,3、5、7、9等低次谐波及 某些特征高次谐波含量随功率、电流 变化曲线 3.不同负荷电流等级下的典型波形、频 谱及等效干扰电流 四、总结

一、HXD2电力机车主电路系统的构成及功能原理 HXD2型大功率交流货运电力机车的牵引电传动系统主要是由网侧电路、主变压器和牵引电路组成,其中牵引电路包括牵引变流器和牵引电机等。整个系统采用单轴独立控制方式,交一直一交变流技术对牵引电机进行牵引和制动特性控制。 1、网侧电路的组成 网侧电路(如图1所示)主要由受电弓、高压隔离开关、网侧火花放电间隙、原边电流互感器、原边电流传感器、真空主断路器、避雷器、接地开关、高压电压互感器、高压连接导电杆以及主变压器原边绕组、组接地回流装置、电能计量装置等组成。图1网侧电路网侧电路主要是用于实现从接触网受流到机车,为机车上的主变压器提供25 kV/50 Hz的交流电源,作为整个机车工作的动力电源。

2牵引电路 2.1牵引变流柜的构成 整台机车由两台牵引变流柜组成,每个牵引变流柜集成了一个转向架的两个牵引变流系统、两个牵引变流控制系统及一套冷却系统,一套牵引变流系统基本由预充电接触器、工作接触器、预充电电阻、整流功率模块、降压斩波模块、接地电阻、充电电容、充电电容电压指示灯、中间电压互感器、PWM逆变模块、整流输入电流传感器、逆变器输出电流传感器以及变流系统功率模块冷却用的轴流冷却塔风机等组成。 2.2牵引变流系统原理 图3为HXD2型电力机车一个轴上的牵引变流系统电气原理图,整台机车的牵引电路包含有原理和结构相同的4套牵引变流器装置,分别

北京交通大学电力牵引传动课程设计实验报告

电力牵引传动课程设计 实验报告

三相异步电机的VVVF控制实验报告 一、实验目的 通过实验将学习到的理论知识与实际相结合,进一步加深对三相异步电机VVVF调速控制的理解,深入了解VVVF控制的基本原理以及基本控制方法。 二、实验原理 1、变频调速基本控制方法 n=n01?s=60f1 p 1?s 一台电机如若希望获得良好的运行性能、力能指标,必须保持其磁路工作点稳定不变,即保持每极磁通量?m额定不变。 异步电机定子每相电势有效值公式: E1=4.44f1W1K w1?m 其中:f1—定子供电频率(HZ);W1—定子每相串联匝数; K w1—基波绕组系数;?m—每极气隙磁通(Wb)。 ?m∝E1/ f1 E1不易控制。频率f1只要不很低,定子阻抗远远小于励磁阻抗,此时定子压降可忽略不计,U1近似等于E1。而U1很容易控制。 只要控制U1/ f1恒定,即实现恒压频比,即可使气隙磁通?m维持在额定值。

(1)基频以下调速 机械特性: T m = 3 2Ω0 U 2 R 1+ (X 1+X 2′)2 +R 12 ≈32Ω0U 12 X 1+X 2 ′=3p 1U 121 12′ =C (U 11 )2 S m ≈R 2′12′=11n 0=60f 1 ∝f 1 最大转速降n 0S m 为恒定值。 低频时定子电阻R 1不能忽略 , 因此U 1不能认为近似等于E 1。如果还是控制U 1/ f 1恒定,并不能保证E 1/ f 1恒定,气隙磁通?m 就不能维持在额定值,而是小于额定值,电机没有得到充分利用,带载能力下

降,致使最大转矩T m减小。 低频时对定子电压进行相应补偿,才能保证E1f1恒定,如要求调速过程中电机的过载能力不变,即过载倍数K T不变,而电机容许输出转矩(额定转矩)T N=T m/K T,如前所述,T m为恒定值,所以T N也为恒定值。可见基频以下调速可实现恒转矩输出。 基频以下,磁通恒定时转矩也恒定,属于“恒转矩调速” (2)基频以上调速 机械特性:

modelsim和NCverilog的区别

Modelsim和NCverilog的比较 集成电路的发展趋势是,单位面积集成的晶体管的数目越来越多,可靠性越来越越高、稳定性越来越好。现在芯片集成度已经超出可人们的想象,有的芯片内部集成了数百万的晶体管,已经远远超出了人的大脑可以分析的范围。这就对EDA工具提出了更高的要求,不管是设计、仿真、综合软件的发展,都给集成电路工程师带来了巨大的便利。 modelsim是Mentor graphics公司推出的HDL代码仿真工具,也是业界最流行的HDL仿真工具之一。支持图形界面操作和脚本操作,常见的图形界面操作相对直观,但是由于重复性操作几率高、处理效率低、工程的非保存性,对于大规模的代码仿真不推荐使用;脚本操作完全可以克服以上的缺点,把常见的命令,比如库文件和RTL加载、仿真、波形显示等命令编辑成.do脚本文件,只需要让Modelsim运行.do文件即可以完成仿真,智能化程度高。 NCverilog是candence公司推出的Verilog HDL的仿真工具。NC-Verilog是Verilog-XL的升级版, 它采用Native-Compiled技术, 无论仿真速度, 处理庞大设计能力, 编辑能力, 记忆体容量和侦错环境都以倍数升级。C-Verilog是一个编译仿真器,它把Verilog代码编译成Verilog程序的定制仿真器。也就是它把Verilog 代码转换成一个C程序,然后再把该C程序编译成仿真器。因此它启动得稍微慢一些,但这样生成的编译仿真器运行得要比Verilog-XL的解释仿真器快很多。Ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;Ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step;Ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作,hncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式。 Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f 中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译。 Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化。 ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top" 第二个参数: A -- signals of the specific scrope

牵引供电总结

1、.牵引供电系统的组成:牵引变电所 ,牵引供电回路 ,开闭所,分区 所,自耦变压器站,牵引网(供电线,接触网,回流线,分相绝缘器,分段绝缘器,供电分区) 牵引变电所:在牵引变电所内装设有牵引变压器,将电力系统110kV 或220kV 的高压降低为27.5kV 或2×27.5kV(自耦变压器供电方式),以单相电馈送给牵引网,供电力机车使用。 分区所:接触网通常在两相邻牵引变电所的中央断开,将相邻的牵引变电所中间的两个供电臂分为两个供电分区没在中央断开出设置开关设备可以将两个供电分区联通,此处的开关设备称为分区所。分区所可以使相邻的接触网供电区段实现并联或单独工作,可以增加供电的灵活性和运行的可靠性。 自耦变压器站:在沿线每隔10-15km 设置一台自耦变压器,用于自耦变压器供电方式。 2、供电电流制:直流制:600v ,750v ,1500v ,3000v 。低频交流制:15kv/16.67hz ,11kv 或12.5kv/25hz ;单相工频交流制:27.5kv/50hz 。 3、牵引网的供电方式:直接供电方式(DF ),直接加回流供电方式(DN ),自耦变压器供电方式(AT ),吸流变压器供电方式(BT ),CC 供电方式。 DF :牵引变电所将电能通过馈线传输到接触网,接触网通过受电弓连接到变压器仪一次测,然后通过钢轨流回变电所。特点:供电回路的构成最简单,工程投资、运营成本和维修工作量都少;但对邻近通信线路的干扰影响严重,钢轨电位比其它供电方式要高。 DN :在直接供电方式的结构上增设与轨道并联的架空回流线,就成为带回流线的直接供电方式,特点:原来流经轨道、大地的回流,一部分改由架空回流线流回牵引变电所,其方向与接触网中馈电电流方向相反,架空回流线与接触网距离较近,因此,相当于对邻近通信线路增加了屏蔽效果。牵引网阻抗和轨道电位都有所降低。 AT:自耦变压器供电方式,简称AT 供电方式。特点:它无需提高牵引网的绝缘水平及可将供电电压提高一倍。在相同的牵引负荷条件下,接触网和正馈线中的电流大致可以减少一半。AT 供电方式牵引网单位阻抗减小,从而提高了牵引网的供电能力,大大减小了牵引网的电压损失和电能损失。牵引变电所的间距可增大到90~100kM ,不但变电所需要数量可以减少,而且相应的外部高压输电线数量也可以减少,对邻近通信线的综合防护效果优于BT 供电方式。缺点主要是结构比较复杂,工程投资比较大,施工、维修和运行也比较复杂。 BT :它是在牵引网中,每相距1.5~4km 间隔,设置一台变比为1:1的吸流变压器,其一次线圈串接入接触网中,二次线圈串接在回流线中。?回流线和接触网中的电流基本上大小相等,方向相反。两者的交变磁场基本上可相互平衡,显著的减弱了接触网和回流线周围空间的交变磁场,使牵引电流在邻近的通信回路中的电磁感应影响大大地减少了。缺点:1.牵引网阻抗增大。2.牵引网电压损失增大。3.牵引网电能损失增大。3.对接触网运行产生不利影响。 CC :CC 供电是一种新型的供电方式。它的同轴电力电缆(CC)沿铁路线路埋设,内部芯线作为供电线与接触网1连接,外部导体作为回流线与钢轨2相接,每隔5-10km 做一个分段。 4、单相接线变压器:不对称度100%。优点:①容量利用率可达100%。②主接线简单,设备少,占地面积小,投资少。缺点:①不能供应三相负荷用电。②产生较大的负序电流。③接触网供电不能实现双边供电。 Vv 接线变压器:原边接入电力系统的两个线电压(如AB 、BC)。次边各有一端分别接到牵引侧的两相母线上,公共端子与轨道及接地网连接。由于对地电压相位不同,中间必须用分相绝缘器断开。用于DN 供电方式,不对称度: I n I α β= ,%100112 ?++-=n n n K I 。优点:①可以根据两供电臂负荷轻 重,分别选择两台单相变压器容量,容量利用率可达到100%。②设备简单, 投资小。缺点:①在正常工作时,需投入两台单相变压器,采用固定备用时,还需设置另外两台变压器备用,需占用较大空间。②当一台变压器因故停电时,另一台变压器必须跨相供电,兼供左右两边供电分区的牵引网。③接触网供电不能实现双边供电。 Vx 接线变压器:由两台二次侧有中点抽头的单相变压器组成。变压器一次侧端线分别接入三相电力系统,二次侧端线a1x1和a2x2 分别接到两组55kV 牵引母线。用于AT 供电方式,不对称度:与Vv 接线变压器相同 三相YNd11接线牵引变压器:不对称度与Vv 接线变压器相同。容量k=75.6%,设计时,一般使供电臂电流达到额定值的1.11倍,此时,相应变压器的容量利用率也可达到1.11×0.765=0.84。三相不等容量牵引变压器的容量利用率可以达到95.4%。 优点:①变压器原边采用YN 结线,中性点引出接地方式与高压电网相适应。②与普通电力变压器技术可以通用,具有结构简单,制造方便的特点。 ③牵引变压器低压侧保持三相,有利于供应牵引变电所自用电和地区三相电力。缺点:①牵引变压器容量不能得到充分利用。②比单相接线牵引变压器的牵引变电所的主接线要复杂,用的设备多,工程投资也较多,维护检修工作量及相应的费用也有所增加。轮换联接方式:依次换接合对称换接。换接规则:①变压器副边的端子c 接地②a 端子接“+”电压供电臂,b 端子接“-”电压供电臂③原边按照Ynd11变压器接线展开图完成与电力系统接线。 斯科特变压器:Scott 接线变压器底(M )座绕组原边接入电力系统AB 相(线电压),高(T )座绕组原边一端接底绕组的中点D ,另一端接入C 相。 在M 、T 两供电臂负荷电流大小相等、功率因数相等的条件下,Scott 牵引变压器原边三相电流大小相等,相位互差120°,即原边三相电流对称。变压器设计容量92.8%。斯科特变压器没有中性点,所以它适用于中性点不要求接地,运输较繁忙,两供电臂负荷电流接近相等的牵引变电所。用逆斯科特接线变压器把对称两相电压变换成对称三相电压,解决所用电和地区供电的问题。优点:①能够减少负序。②变压器容量利用率较高。缺点:①变压器制造困难,造价高。②变电所主接线复杂,设备较多,工程投资也较大,维护检修工作量及费用较大。③原边T 接地(O 点)电位随负载变化而产生漂移。变压器的绝缘水平要采用全绝缘。 5、变压器容量选择:(1)确定计算容量。根据铁道部任务书中规定的年运量大小和行车组织的要求,按正常运行的计算条件求出供应牵引负荷所必须的最小容量。(2)确定校核容量。根据列车紧密运行时的计算条件和充分利用牵引变压器的过负荷能力所计算的容量,这是为确保变压器安全运行所必须的容量。(3)确定安装容量。根据计算容量和校核容量,同时考虑备用等其他因素,并根据实际变压器系列产品的规格确定的变压器台数与容量。在变压器负荷容量计算过程中,应将馈线负荷电流变换成变压器绕组的有效电流,用绕组有效电流计算变压器的容量。 6、高压侧接线方式:单母线,单母线分段,桥形接线(内桥,外侨,简单) 低压侧接线方式:单母线分段,单母线带旁路母线,低压侧馈线有断路器备用(百分百备用,百分之五十备用,旁路断路器备用)。 单母线接线:一般将其高压电源回路和用电回路都通过隔离开关、断路器接在同一套母线上,构成单母线接线。优点:结构简单、设备少、配电装置费用低、经济性好并;没有复杂的倒闸作业,可以避免或减少误操作;任一用电回路可从任何电源回路取得电能,不致因运行方式的不同而造成相互影响。缺点:检修任一回路断路器和隔离开关时造成该回路停电,另外检修母线和与母线连接的隔离开关时,将造成全变电所停电;而母线发生故障,将使全部回路断开,待故障排除后才能恢复供电。因此,供电可靠性不强,而且断路器无备用。 单母线分段接线:优点:正常运行时,两分段母线并列运行,分段断路器闭合,既能通过穿越功率,又可在必要的时候将母线分成两段,这样,当母线 检修和与母线连接的隔离开关检修时,停电范围可缩小一半;母线故障时,分段断路器自动跳闸,将故障段母线断开,非故障段母线及其线路仍照常工作,仅使故障段母线连接的线路停电。缺点:分段母线可轮流检修,检修时将造成该段母线上所有回路停电;另外断路器仍无备用。广泛用于城市电牵引变电所和110kV 电源进线回路较少的牵引供电系统。 单母线带旁路母线接线:优点:旁路母线的作用是检修任一台进出线断路器时,提供工作电流的另一条通路,从而不中断该回路供电。缺点:增加了一套旁路母线和相应的设备,以及为此而增加配电装置空间。 7、电流增量保护:当牵引网发生高阻接地故障时,故障电流可能小于最大负荷电流,阻抗保护和电流速断、过电流保护不能动作。此时应该设置电流增量保护。当机车正常运行时,由于机车电抗器的作用,短时间内电流增量不会很大;而发生短路时,电流瞬间增大到短路电流,和电流增量较大,通过比较正常状态下的负荷电流和高电阻故障电流随时间变化的不同就可以检出故障。电流增量保护的选择能力比普通电流保护高,除了反应稳态最大负荷以外,还同时反应短时间内电流的增量,其电流整定值可适当减至一列车的最大电流。 8、电压损失:在进行工程计算时要计算馈电臂的最大电压损失,它是指列车在紧密运行时,可能出现的短时(1-2min)最大损失,与此相应的为馈电臂最低电压。此外还要计算列车在限制区间和馈电臂末端追踪间隔的平均电压损失,依据具体条件(线路运行情况)进行计算。电压损失是算数差,电压降落是矢量差。一般压损小于压降的模。 9、改善供电臂电压水平的措施:1、调整牵引变电所母线电压,方法有:牵引变压器分接头调压、专用变压器调压、特殊接线变压器等。2、降低牵引网阻抗:采用载流承力索或加强线是减小牵引网阻抗的有效办法。可以架设捷接线,来有效减少电流路径,减少牵引网阻抗,从而达到减少电压损失的目的。采用采用带电承力索或加强导线可起到降低牵引网阻抗的作用,一般可降低25%以上。3、提高负载功率因数,进行集中式串联电容补偿。4、采用合理的牵引网供电方案:适当选择牵引变电所的位置,保证合理的供电臂长度,是保证电压损失在规定范围内的重要保证。另外,双边供电比单边供电从减少电压损失的角度更为优越,但是会增加继电保护的难度。 10、减少牵引供电系统电能损失的措施:1、限制供电臂的长度。2、增设加强导线。3、双边供电方式。4、在有条件的牵引网地段设置捷接线。5、牵引供电系统采用直接供电方式比有吸回装置的供电方式能耗要小。6、对牵引网的结构、材质、导线及截面进行优选,以降低牵引网阻抗。?7、由于负荷要求,需要对接触悬挂采取分段实行不同截面时,已有近电源点开始一次由大到小采取不同截面。对于复线区段,则以将大截面导线均匀布置与近电源侧的上下行接触悬挂内。所以一般加强导线设于变电所端。8、结合变压器的经济运行选择容量,并实现牵引变压器的经济运行。9、复线区段,在分区亭处将上、下行并联供电,可减少牵引网电能损耗。10、一般在牵引变电所设无功补偿装置,可提高功率因数,并减少电能损耗。 11、静电感应:接触网带电时,将在邻近空劲产生高压电场,从而使邻近空间各点具有一定的电位。危害:有可能在通信电路中产生危险电压,使通信设备绝缘遭到破坏,甚至危及操作和维护人员的安全。牵引网在与其邻近的其它电路和金属管道中以及电气设备的金属外壳和其它金属物上,也可能产生危险电压。防范:对处于电气化铁道10m 以内的未接地的金属建筑物也会出现较大的静电感应电位。因此这些建筑都应有良好的接地。铁路沿线电话、信号和电力线路也需要可靠接地,或者采取其他的措施以免在线路上发生危险。 电磁感应:当接触网中流过交流电时,交流牵引网在其周围空间产生交变磁场,从而在邻近的通信线路中产生纵向感应电动势。危害:当通信线与接触网相距不远,平行长度较大时,在通信线的50HZ 纵向感应电动势也可以达到危险程度。

电力系统远动课程设计

新能源与动力工程学院课程设计报告 远程监控技术课程设计 专业电力工程与管理 班级电力1201 姓名周勇 学号201211321 指导教师王书平 2015年7月

兰州交通大学新能源与动力工程学院课程设计任务书 课程名称:远程监控技术课程设计指导教师(签名): 班级:电力工程与管理1201 姓名:周勇学号:201211321 一、课程设计题目 电力系统远动变电站综合自动化的设计。 二、课程设计使用的原始资料(数据)及设计技术要求: 初步掌握变电站监控设计步骤和方法;了解变电站监控系统的整体构成。 三、课程设计的目的 主要目的是通过该课程设计使学生了解变电站监控系统的整体构成及关 键性技术,进一步巩固所学知识并能够合理利用。 四、课程设计的主要内容和要求(包括原始数据、技术参数、设计要求、工作量要求等) 1. 主要设计原则和主要设计标准; 2. 根据原始资料确定系统应实现的功能,包括调度中心及RTU应实现的功能。 3. 变电站监控系统的系统构成及配置; 4. 调度中心:系统构成、系统网络结构、软硬件配置等; 五、工作进度安排 7月 9 日熟悉课程设计内容及要求制定方案。 7月10日设计电路及软件测试。 7月11日采购数字电压表组件按照设计电路进行焊接。 7月12日产品整理并完成设计报告及答辩。 六、主要参考文献 [1] 柳永智,刘晓川主编.电力系统远动中国电力出版社,2006年7月。 [2]刘功,合肥供电公司,变电站综合自动化系统的发展。 审核批准意见 系主任(签字)年月日

指导教师评语及成绩指 导 教 师 评 语 成绩设计过程 (40) 设计报告 (50) 小组答辩 (10) 总成绩 (100) 指导教师签字: 年月

Ncverilog_的一些经验

Ncverilog 的一些经验 1.Verilog和Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译 2.Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化. ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top", 第二个参数: A -- signals of the specific sc rope S -- Ports of the specified s cope and below, excluding library cells C -- Ports of the specified s cope and below, including library cells AS -- Signals of the specifie d scope and below, excluding library cells AC -- Signals of the specifie d scope and below, including library cells 还有一个 M ,表示当前scope的m emories, 可以跟上面的结合使用, "AM" "AMS" "AMC" 什么都不加表示当前scope的por ts; $shm_close //关闭数据库 2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化. ex). $dumpfile("filename"); //打开数据库 $dumpvars(1, top.u1); //scope = top.u1, depth = 1 第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope. $dumpvars; //depth = all scope = all $dumpvars(0); //depth = all scope = current $dumpvars(1, top.u1); //depth = 1 scope = top. u1 $dumpoff //暂停记录数据改变,信号变化不写入库文件中 $dumpon //重新恢复记录 3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debus sy结合,方便调试.

铁路牵引供电系统实习总结

天津铁道职业技术学院 毕业环节总结 电气化铁道技术专业毕业总结 系部铁道动力系 班级电气化铁道技术1207班 姓名魏子涵 完成日期 2015年5月31日

电气化铁道技术毕业实习总结 魏子涵 时间就像白驹过隙一样,很快的三年的大学生活就要落幕,这三年的学习生活充满的各种滋味,有欢笑有汗水,生活就是这样,每一段时间都有不一样的事情发生,这三年是十分充实的,也是这三年的时间,促使我从一个学生不断的转变,让我不断的在探索中融入这个社会。大学生活即将结束时,感谢学校和单位给我们提供一个实习机会,让我在实践中更好地掌握从书本中学习的专业知识感受企业和社会文化,帮助我在将来的工作中更好地适应和发挥。 一、实习概况 (一)实习时间 2014年12月1日—15年5月31日 (二)实习地点兰州铁路局兰州供电段 (三)实习基本内容:在兰州供电段实习期间,主要学习供电段日常安全及工作是注意事项和铁路牵引变电所一、二次设备的绝缘测试以及接触网的维护与检修。 二、实习具体过程 (一)接触网部分 1.接触网工作基本知识的学习 通过对铁路安全文件的学习,我了解到接触网工必须实行安全等级制度, 经过考试评定安全等级, 取得安全合格证之后, 方准参加接触网的运行和检修工作。 接触网工分工较细, 同为接触网工岗位, 根据工作性质、安全等级的不同, 分为工作票填发人、工作领导人、监护( 工作监护、验电接地监护) 人、操作人、要令人、车梯负责人、防护人等。 工作职责也相应分为接触网工作票签发人工作职责、接触网工作领导人工作职责和作业组成员(包括监护、操作、要令、防护、车梯负责人等; 工作票签发人可以是作业组成员参加作业, 但必须履行作业组成员的工作职责) 工作职责。 2 .接触网日常工作 在师傅的指导下,我们学习了:

牵引供电课程设计

目录 1 选题背景 (1) 2 方案论证 (1) 2.1 变压器容量和台数选择 (1) 2.2 主接线方案拟定 (1) 3 过程论述 (3) 3.1 电压不对称系数计算 (3) 3.2 变压器与配电装置的一次投资与折旧维修费 (6) 3.3 各方案的电能损耗 (7) 4 设计体会 (9) 参考文献 (11)

1 选题背景 题目:某牵引变电所位于大型编组站内,向两条复线电气化铁路干线的三个方向馈电区段供电,已知列车正常情况的计算容量为12000kV A(三相变压器),并以10kV电压给车站电力照明机务段等地区负荷供电,容量计算为3850kV A。各电压侧馈出线数目及负荷情况如下: 25kV回路(1路备):两方向年货运量与供电距离分别为Q1L1=33×60Mt.Km; Q2L2=31×25Mt.Km,K R=0.2,△q=100KWh/Kt.Km。 10kV回路(2路备):供电电源由系统区域变电所以双回路110KV输送线供电。 本变电所是终端变,送电线距离10kM。 主变压器为三相接线,要求:画出变电所得电气主接线。(包括变压器容量计算;各种方案主接线的比较;主设备的选择;) 由题意知,本牵引变电所担负着重要的牵引负荷供电任务(一级负荷)、馈线数目多、影响范围广,应保证安全可靠持续性的供电。10千伏地区负荷主要为编组站自动化驼峰、信号自动闭塞、照明及其自动装置等一部分为一级负荷、其他包括机务段在内的自用电和地区三相负载等均为二级负荷,也应满足有足够安全可靠供电的要求。本变电所为终端变电所,一次侧无通过功率。 2 方案论证 2.1 变压器容量和台数选择 三相牵引变压器的计算容量是由牵引供电计算求出的。本变电所考虑为固定备用方式,按故障检修时的需要,应设两台牵引用主变压器,地区电力负荷因有一级负荷,为保证变压器检修时不致断电,也应设两台。 因没有校核容量,只考虑计算容量来选择变压器,牵引变压器计算容量为12000kV A,故选择容量为12500kV A的变压器,而地区变压器选择6300kV A变压器。 根据原始资料和各种负荷对供电可靠性要求,主变压器容量与台数的选择,可能有以下两种方案: 方案A:2×12500kV A牵引变压器+2×6300kV A地区变压器,一次侧同时接于110kV母线,(110千伏变压器最小容量为6300kV A)。 方案B:2×16000kV A的三绕组变压器,因10千伏侧地区负荷与总容量比值超过15%, 采用电压为110/25/10.5kV A,结线为 0// Y??两台三绕组变压器同时为牵引负荷与地区电力负荷供电。各绕组容量比为100:100:50。 2.2 主接线方案拟定 按110kV进线和终端变电所的地位,考虑变压器数量,以及各种电压级馈线数目、可靠供电的需要程度选择结线方式。 (1)对于上述方案A,因有四台变压器,考虑110kV母线检修不致全部停电,采用

Debussy是一个VerilogVHDL调试工具

介绍 一、Debussy是一个Verilog/VHDL调试工具 Debussy是一套很好的Verilog/VHDL调试工具,可以帮助设计者快速理解复杂的设计,查找和定位设计中存在的问题,提高效率。 二、Debussy包含软件模块 Debussy主要有以下几个模块: 1、nTrace:超文本连接方式的源代码追踪及分析 2、nSchema:原理图显示及分析 3、nWave:波形显示及分析 4、nState:有限状态机的显示及分析 5、nCompare:分析仿真结果,比较其相异处。 Debussy的五个组成部分nTrace, nWave, nSchema, nState, nCompare在FSDB和Knowledge Database (KDB)的支持下高度集成。

三、Debussy功能 Debussy直接编译Verilog/VHDL源代码,并且采用了预综合技术识别电路的单元,可以生成原理图。在导入仿真结果后,可以用Active Annotation在源代码、原理图、状态图上动态显示变量的仿真值。 Debussy的输入为:Verilog/VHDL或混合语言源代码,仿真波形文件,SDF 支持的波形格式:vcd,fsdb,模拟波形文件(PowerMill,TimeMill,Avant! Star-Sim,SmartSpice) 支持的仿真器:VCS,Verilog-XL,NC-verilog,NC-VHDL,Modelsim,Leapfrog,Ploaris。

操作 一、启动 1. 启动 %debussy 启动debussy时,弹出一个nTrace窗口,包含三个子窗口: a、左边是Hierarchical Browser窗口,用来显示设计的层次(design hierachy)。 b、右边是Source Code window,显示源代码。跟踪信号的驱动、负载。 c、底下是Message window(消息窗口),用来报告操作的结果。 2.导入设计 File->Import Design Debussy提供了两种导入设计的方法: A、从设计源文件直接导入 B、从库导入(先将源文件编译成库) Note: 可以用命令的方式直接启动debussy并导入设计文件,即在命令行上列出所有的选项和源文件,或者把这些选项和源文件写到一个文件中,用-f选项指定这个文件。例如: %debussy design.v -v lib.v %debussy -f run.f Note: 启动debussy后,会自动建立一个日志目录DebussyLog,在这个目录下,有一个日志文件:,记录了Debussy的编译信息。 3.查看编译信息: File->View Import Log 二、根据仿真结果进行调试 1. 生成仿真的波形文件 Debussy提供的新的波形文件格式FSDB(Fast Signal DataBase),相比于VCD格式,压缩量大,比vcd文件小5-50倍,加载速度快。 Debussy提供了PLI(for Verilog)和FLI(for VHDL)接口,我们可以在仿真时直接导出FSDB文件。例如,在Verilog的测试模块中加入如下语句:

相关文档
最新文档