篮球24秒倒计时器课程设计报告

篮球24秒倒计时器课程设计报告
篮球24秒倒计时器课程设计报告

目录

前言 (2)

1、设计任务与要求 (3)

1.1设计思路 (4)

1.2 设计原理和功能 (4)

1.2.1 基本功能 (4)

1.3总体设计框图 (5)

2、方案分析与论证 (6)

2.1 各芯片的用法和功能 (6)

2.1.1 74LS00 (6)

2.1.2 74LS08 (6)

2.1.3 555定时器 (7)

2.1.4 74LS192 (8)

2.2单元模块 (9)

2.2.1 秒脉冲发生模块 (9)

2.2.2 倒计时模块 (10)

2.2.3 控制电路模块 (11)

2.2.4 报警提示模块 (12)

3、电路仿真调试(总电路图)与故障分析 (13)

3.1 总电路图 (13)

3.2 仿真调试 (14)

3.2.1 启动功能 (14)

3.2.2 复位功能 (15)

3.2.3 暂停功能 (16)

3.2.4 自动停止、复位功能 (17)

3.3 故障分析和解决 (18)

3.4 电路改进 (19)

4、总结与心得 (20)

5、参考文献 (20)

前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。

在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就违例了。本课程设计“智能篮球比赛倒计时器的设计”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动报警从而判定此球员的违例。

本设计主要能完成一下功能:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接复位、启动和暂停/继续功能;在直接复位时,数码管显示为“24”;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到“00”时,数码显示器不灭灯,并且直接回到“24”,同时报警电路发出光电报警信号等。

整个电路的设计借助于Multisim仿真软件以及数字电路相关理论知识,并在Multisim下设计和进行仿真,得到了预期的结果。

1、总体设计任务与要求

1.1设计思路

本课程设计是脉冲数字电路的简单应用,设计了篮球比赛24秒倒计时器。此计时器功能齐全,具有直接复位、启动、暂停|继续以及光电报警功能,同时使用了两个共阴极数码管来显示时间。此计时器有了启动、暂停和继续功能,可以方便地在中途实现暂停计时功能。当计时器递减到零时,会发出光电报警信号。

此计时器的设计采用模块化结构,主要由以下5个部分组:秒信号产生模块、计时模块、控制模块、译码显示模块以及报警电路模块。在设计此倒计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。

篮球比赛24秒倒计时系统的主要功能包括:进攻方24秒倒计时和计时结束警报提示。秒信号产生电路由555定时器构成的多谐振荡器和74LS90构成的分频器构成,为计数电路提供计数秒脉冲。攻方24秒倒计时,当比赛准备开始时,屏幕上显示24秒字样,当比赛开始后,倒计时从24逐秒倒数到00。这一计时模块主要是利用双向计数器74LS192来实现;控制电路主要利用SR锁存器的锁存功能和计时电路的反馈信息来控制电路的计时模块,以实现倒计时器的多项功能。当计数器计时到零时,警报电路给出发光提示和提示音。这部分电路主要通过一些门电路来实现。

1.2 设计原理和功能

篮球比赛24秒倒计时器的总体电路包括秒脉冲发生电路、计数电路、显示电路、报警电路和控制电路等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接复位、启动计数、暂停/继续计数、显示电路的显示与灭灯、定时时间到报警等功能。

秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,本电路采用555定时器组成的多谐振荡器产生秒脉冲信号。

显示电路采用共阴极数码管对计数器的输出信号直接进行译码显示。报警电路在实验中可用发光二极管和蜂鸣器代替。

主体电路: 24秒倒计时部分由74LS192计数器组成的计数电路完成。计数芯片清零端接低电平,并使计数器工作在减计数状态。系统启动后,计数器的置数端无效,24秒倒计时器开始进行倒计时,逐秒倒计到零。利用计数器减计数到“00”状态后将自动跳变到“99”状态继续进行倒计时这一特点,将短暂出现的“99”状态信息通过与非门反馈到控制电路,使控制电路控制计数器的置数端持续有效,电路回到“24”状态并停止计时。另外,在控制电路中还设置了控制开关来实现电路的启动、直接复位以及暂停/继续等功能。

1.2.1 基本功能

本电路基本功能主要包括启动、直接复位、暂停/继续等功能,这些功能的实现由控制电路的控制作用来完成。

启动功能:控制电路部分主要由与非门组成的SR锁存器和一些门电路、控制开关组成。在保证74LS192双向计数器工作在减计数状态的前提下,将其置数端接在控制电路SR锁存器的输出端。在按下启动键J1之前,锁存器输出为低电平,置数端有效,计数器处于置数状态。当按下J1后,锁存器输出翻转为高电平,置数端无效,计数器进入计数状态。

直接复位功能:单刀双掷开关J3为复位键,当其接在锁存器输出端时,计数器按照其他控制信号正常工作,当按下J3将其接地时,计数器置数端被置零处于有效状态,计数器直接复位为“24”当再次按下开关时,计数器再次工作进入计数状态。

暂停/继续功能:开关J2为暂停/继续键,当其断开时多谐振荡器产生的秒脉冲信号被截断,因而计数器暂停计数并保持;当开关J2闭合时,秒脉冲信号接通继续为计数器提供脉冲信号,计数器继续进行计数。

1.3总体设计框图

图1.1 总设计框图

2、单元电路设计

2.1 各芯片的用法和功能

2.1.1 74LS00

74LS00为二输入与非门,本电路利用其构成了SR锁存器和其他一些基本功能。与非门逻辑功能为两输入端有“0”为“1”,全“1”为“0”。两输入与非门74LS00引脚图如图2.1所示。

图2.1 74LS00引脚图

2.1.274LS08

74ls08是与门,详细地说是4二输入与门,即一片74LS08芯片内有共四路二个输入端的与门,下面是引脚图

图2.2 74LS08引脚图

2.1.3 555定时器

555定时器是一种集模拟、数字于一体的中规模集成电路,其应用极为广泛。它不仅用于信号的产生和变换,还常用于控制与检测电路中。

555定时器的内部电路由分压器、电压比较器C

1和C

2

、简单SR锁存器、放

电三极管T以及缓冲器G组成,其内部结构图如图2.3所示。

图2.3 555定时器内部结构图

本电路采用555定时器构成的多谐振荡器产生脉冲信号。

用555定时器构成的多谐振荡器如图2.3(a)所示。接通电源后,电容C被

充电,当v

c 上升到2Vcc/3时,是v

o

为低电平,同时放电三极管T导通,此时电

容C通过R

2和T放电,v

c

下降。当v

c

下降到Vcc/3时,v

o

翻转为高电平。电容器

C放电所需时间为

t PL =R

2

C㏑2≈0.7R

2

C

当放电结束时,T截止,Vcc将通过R

1、R

2

向电容器C充电,v

c

由Vcc/3上

升到2Vcc/3所需时间为

t

PH =(R

1

+R

2

)C㏑2≈0.7(R

1

+R

2

)C

当vc上升到2Vcc/3时,电路又翻转为低电平。如此周而复始,于是,在电路的输出端就得到了一个周期性的举行波。电路的工作波形如图2.4(b),器振荡频率为

f=1/( t

PL + t

PH

)≈1.43/(R

1

+2R

2

)C

(a)电路图(b)工作波形

图2.4 由555定时器组成的多谐振荡器

2.1.4 74LS192

74LS192是十进制计数器,具有“异步清零”和“异步置数”功能,且有进位和借位输出端。当需要进行多级扩展连接时,只要将前级的端接到下一级的

CP

+端,端接到下一级的CP

-

端即可。74192功能表和引脚图如图2.5、2.6所示:

图2.5 74LS192功能表图2.6 74LS192引脚图图中:~LOAD为置数端,接低电平是预置数置入,正常计数时接高电平;CLR 为清零端,接高电平时计数器清零;UP为加计数端,DOWN为减计数端,实现加计数时DOWN接高电平,UP接脉冲信号,实现减计数时UP接高电平,DOWN接脉冲信号;~CO为进位输出端,~BO为借位输出端;A、B、C、D为计数输入端;Q

A

Q B 、Q

C

、Q

D

为数据输出端。

2.2单元模块

2.2.1 秒脉冲发生模块

秒脉冲的产生由555定时器所组成的多谐振荡电路和74LS90构成的分频器完成。电路图如图2.7所示,首先由多谐振荡器产生频率为1000Hz的周期矩形波信号,然后经过分频器分频最终得到频率为1Hz的脉冲信号。当开关闭合时,分频器输出的频率为1Hz的脉冲信号输入到74LS192中;当开关断开时,没有脉冲输入74LS192中,故74LS192处在保持状态,即实现暂停功能。

图2.87 秒脉冲发生电路图

2.2.2 倒计时模块

24秒倒计时电路。这部分电路的主体部分在时钟脉冲的输入情况下工作,下面进行具体分析。

计数器的倒计时功能。用两片74LS192分别做个位(低位)和十位(高位)的倒计时计数器,由于本系统只需要从开始时的“24”倒计到“00”然后停止,所以,这里的高位不需要做成六十进制的计数器。

因为预置的数不是“00”,所以我选用置数端LOAD来进行预置数。

低位的借位输出信号用作高位的时钟脉冲。

两片计数器具体接法。Vcc、UP接+5V电源,GND接地;时钟脉冲输出后接到低位的DOWN,然后从低位BO接到高位的DOWN;低位输入端C、高位输入端B 接电源,其他引脚和CLR都接地。LOAD接到开关J3的非活动端。电路图如图2.8所示。

图2.8 秒脉冲产生电路

2.2.3 控制电路模块

倒数计数器到零时,需要将电路转换到“24”并且停住。现在选取计数器到

引出线接到二脚零的状态24秒计到“00”,从两片74LS192芯片的输出引脚Q

D

与非门,当计数器从“00”状态转换到“99”时,用与非门把该状态转换成低电平(其余时间为高电平)控制LD。使电路转换到“24”。由于数字99是在很短的时间才能看到,用肉眼是看不到的,于是能实现从“00”到“24”的转换。再通过与非门所组成的触发器的输出端输出低电平,使74LS192处于保持状态。

这样就实现了转换并停止的电路。电路图如图2.9所示。

图2.9 控制电路电路图

2.2.4 报警提示模块

当电路由“00”到“24”时,构成SR锁存器的下面一个与非门输出低电平,而鸣蜂器的和LED1的正极已经接了高电平,故这时由于两端存在电压差,所以鸣蜂器和LED1均能正常工作。从而发出报警信号。如图2.10所示。

图2.10 报警电路图

3、电路仿真调试(总电路图)

3.1 总电路图

由多谐振荡器和分频器共同产生的秒脉冲输入到计数器低位片的DOWN端,作为减计数脉冲。当按下启动开关J1使其活动端接地时,计数器开始计数。当计数器计数计到0时,低位片的(13)脚输出借位脉冲使高位计数器开始计数。当计数器计数到“00”时应使计数器复位并置数“24”。本电路利用从“00”到“99”时,通过与非门,使电路置数到“24”并且保持该状态。由于“99”是一个过渡时期,不会显示出来,所以本电路采用“99”作为计数器复位脉冲。当计数器由“00”跳变到“99”时,利用个位和十位的“9”即“1001”通过与非门U8去触发SR触发器使电路翻转,使11脚变为低电平,计数器置数,并保持为“24”,同时LED发光二极管亮,蜂鸣器发出报警声,即声光报警。若需要暂停时,断开暂停/继续开关J2,这时CP信号被截止,计数器停止计数并保持不变。当闭合J2后,计数器继续计数。J3为直接复位开关,当将其活动端接地时,无论计数器处于什么状态,计数器将立即复位到“24”。

图3.1 总电路原理图

3.2 仿真调试

3.2.1 启动功能

当按下启动开关J1使其活动端接地时,计数器开始计数。

图3.2 刚刚启动时图

图3.3 运行中图

3.2.2 复位功能

J3为直接复位开关,当将其活动端接地时,无论计数器处于什么状态,计数器将立即复位到“24”。

图3.4 直接复位图

3.2.3 暂停功能

J2为暂停/继续开关,断开暂停/继续开关J2,这时CP信号被截止,计数器停止计数并保持不变。当闭合J2后,计数器继续计数。

图3.5 暂停状态图

3.2.4 自动停止、复位功能

当计数器计数到“00”时,计数器自动复位并置数“24”。同时LED发光二极管亮,蜂鸣器发出报警声,即声光报警。

图3.6 自动停止、复位图

3.3 故障分析和解决

电路在整体设计好之后,仿真时出现各种故障是在所难免的。比如,由于粗心造成的连线错误,电源、电容或电阻等元器件参数选择不当等都会对仿真结果造成影响,甚至使电路不能运行。这就需要我们在完成整体设计之后不断的仔细检查与认真思考,改正电路存在的各种错误和不完美的地方,使电路应有的各项功能都能很好的实现,并且整齐美观。

在分析电路故障的过程中,一定要有足够的耐心和细心。首先要利用学习的理论知识对各种芯片、器件的工作原理、工作条件等有一个明确的认识,再根据电路存在的问题找出原因与解决办法。遇到实在无法解决的问题要努力查阅资料或向老师请教。

3.4 电路改进

经过一系列的调试、改正后,本电路已经能够正确的实现各项功能并稳定工作,但仍存在许多不足与缺点。其中主要问题是利用555定时器构成的多谐振荡器所产生的脉冲信号稳定性不是特别好,而且由于让其产生正常波形的电容、各电阻的比例不好掌握,所以很难通过理论计算使其产生理想频率的脉冲信号。而且多谢振荡器也不容易直接产生频率为1Hz的脉冲信号。经过反复的思考和老师的指导,我利用Mltisim软件自动编译电路功能产生了一个输出信号频率为1kHz 的多谐振荡器,然后利用74LS90构成一个分频器对多谐振荡器产生的信号进行分频最终得到1Hz的脉冲信号。

另外,仿真时还出现了理论倒计时时间和仿真时间不一致的情况,这一问题主要是由于仿真步长的设置不合理和脉冲信号产生电路不太稳定造成的,经过一些设置和改进,最终电路倒计时时间基本上接近1秒钟。

从实际应用角度来看,本电路还是有值得改进的地方。比如当数码管显示到“00”时便发出警报信号,应该是更合理的。但是本电路只有在从“00”转到“24”是才能报警。实际的电路改进可以先在两个74LS192的输出端接上两个四输入与非门,并接到触发器的输入端,这样能实现当数码管显示到“00”时,实现报警功能。但是,这样的代价就是使电路更加的复杂。

4、总结与心得

在此次课程设计中,首先根据学到的理论和老师讲授的方法,和同组同学一起分析研究此次电路设计的任务和要求,初步确定了整个电路的大体框架和各个功能的实现方法。然后按照分析的结果进行整体的电路设计。在这个过程中,王老师全程对我们进行了耐心的指导和细心的解答,所以在此要特别感谢我们的王老师。

电路初步完成后,部分地方的思考不够缜密导致电路还不够完善,在运行过程中出现了一些问题。后来经过仔细检测和校正,电路进一步完善并能够正常工作。在其中遇到一些凭一己之力难以解决的问题时,我们都认真分析讨论,通过大家的力量解决问题。对于一些实在难以解决的问题,我们也认真向老师询问请教,和老师一起探讨解决。

通过此次电路设计,我加深了对课本知识的认识和理解,对课本中学习过的一些芯片的用法和原理、电路原理以及电路设计方法也有了更深一步的认识。

第一,我对本学期所学的理论知识有了更加深刻的理解。首先是对本次课程设计用到的74LS192、555定时器、SR锁存器等芯片的原理、功能、用途等有了更加深刻的认识。以前在课堂上一些一知半解的东西,经过这次的实际应用也顿时觉得豁然开朗。同时通过本次课程设计,我对Mltisim仿真软件也有了初步的了解,相信在未来的学习工作中这一定会对我有所帮助。

第二,提高了我理论联系实际的能力。在本科生的学习中,大部分是对理论知识的学习,很少有真正实际应用与实践的机会。每个学期末的课程设计无疑是十分难得的,我们应好好利用并倍加珍惜。在上理论课时,对各种芯片的原理、功能等都只是有一种肤浅的认识,并没有特别深刻的了解。即使是实验课也只是一种验证性实验,但这次的课程设计却让我对学习的理论知识有了更加深刻的认识,并将其运用到实际的电路设计中,在学会运用的过程中再一次对理论进行了升华。为以后的工作打下了良好的基础。

第三,使我认识到了团结协作的重要性。完成本次课程设计也不是一件轻松的事情,设计的过程中也总会出现这样那样的问题。有些问题凭自己绞尽脑汁的空想是很难解决的,这个时候我们就需要其他同学或老师的帮助。在遇到疑难时,

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

篮球24秒计时器设计

CENTRAL SOUTH UNIVERSITY 本科生毕业论文(设计) 题目篮球24秒定时器系统设计 学生姓名高能 指导教师李长庚 学院物理科学与技术学院 专业班级电子工程(09A) 完成时间2011-4-8

摘要 本电路主要由五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路,主要采用555 作为振荡电路, 由74LS192、74LS48 和七段共阴LED 数码管构成计时显示电路, 具有计时器直控制电路直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。当控制电路的置数开关闭合时,在数码管上显示数字24,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警信号。 关键词:计数器;24秒倒计;译码显示电路;控制电路;报警电路

Abstract The circuit has five main modules: pulse generator, counters, display decoder circuit, control circuit and alarm circuit is mainly used as an oscillator circuit 555 by the 74LS192, 74LS48 and a total of Seven-Segment LED digital tube Yam constitute a time circuit, Direct control circuit has a timer counter to start counting direct control, pause / row count, the display of display circuit decoding functions. When the control circuit to open the closure of the home several time, digital tube display in figure 24, every time 1 seconds pulse signal input to the counter, the digital tube will automatically reduce the number of 1, decreasing to zero when the timer and alarm circuit issued photoelectric alarm signal and the buzz. Key words:counter; 24 seconds counter; decoding display circuit; control circuit; alarm circuit

数电课程设计篮球24秒倒计时

目录 前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 - 1.1篮球竞赛24秒计时器功能................................ - 2 - 1.2 设计任务及要求 (2) 1.2.1基本要求 (2) 1.2.2 设计任务及目标..................................... - 2 - 1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3) 2.1 设计原理 (4) 2.2 设计方案 (4) 2.3 单元模块 (4) 2.3.1 8421BCD码递减计数器模块 (5) 2.3.2 时钟模块 (7) 2.3.3 辅助时序控制模块 (8) 第三章实验体会 (10)

第一章计时器概述 1.1篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 1.2 设计任务及要求 1.2.1基本要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

数字电子技术课程设计篮球比赛30s计时器

一、设计目的 1.培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力 2.学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。3.运行基本技术训练,如基本仪器仪表的使用,产业元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规以及使用仿真软件、实验设备进行调试和数据处理等。 4.培养学生的创新能力。 二、设计要求 1.30秒计时器具有显示30秒的计时功能。 2.系统设置外部操作开关,控制计时器的直接置数、清零、启动、和暂停功能。3.计时器为30秒递减计时时,其计时间隔为1秒。 4.当计时器递减计时到零时,数码显示器不能灭灯,LED变亮报警。 三、总体设计 本实验的核心部分是要设计一个30s计数器,并且对计数结果进行实时显示,同时要实现设计任务中提到的各种控制要求,因此该系统包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等5个部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成30s计时功能,而控制电路具有直接控制计数器的启动计数、暂停、连续计数、译码显示电路的显示和灭灯功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器显示零。当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示30s字样;当启动开关断开

时,计数器开始计数;当暂停、连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停、连续开关拨在连续时,计数器继续递减计数。系统设计框图如图下图所示。 图1 四、单元电路设计 1、译码显示电路 用发光二极管(LED )组成字型来来显示数字。这种数码管的每个线段都是一个发光二极管,因此也称LED 数码管或LED 七段显示器。因为计算机输出的是BCD 码,要想在数码管上显示十进制数,就必须先把BCD 码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD 码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”因此在本次的设计中我们采用了常用的74LS48。 在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果;另一方 面用于监视数字系统的工作情况。因此,数字显示电路是许多数字设备不可缺少的部分。数字显示电路通常由译码器、驱动 器和显示器等部分组成,如下图所示。下面对显示器和译码驱动器分别进行介绍。

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

篮球24秒计时器

电子课程设计 ——篮球24秒计时器 学院:电子信息工程 专业:电子101501 姓名: 学号:201015020131 指导教师: 2012年12月

篮球24秒计时器 一设计任务与要求 (1)有显示24秒的计时功能; (2)置外部操作开关,控制计时器的直接清零,起碇和暂停\连续功能; (3)计时器为24秒递减计时器,其间隔时间为1秒; (4)计时器递减计时到零时,数码显示器不能灭灯,就发出光电报警信号。 二、总体框图 方案一:利用VHDL语言构成的24s篮球可控计时器 方案二:由各个单元模块构成的24s篮球可控计时器

从框图可知:方案一是完全利用VHDL语言编写各个模块,然后将所有模块连接进行仿真及测试;而方案二是利用已有的芯片构成相应模块,后组合连接仿真。方案二在设计中有一定的简便性且不用编写繁琐的程序,因此,以下设计采用方案二。 篮球24秒计时器,它包括秒脉冲发生器、计数器译码显示、控制电路和报警电路五部分组成。其中计数器和控制电路是电路的主要部分。计数器完成24秒计时功能。而控制电路完成电路的直接清零、启动计数、暂时/连续计数;译码显示电路的显示与亮灯和到时间启动报警功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准。 注:(1)由于实验箱具备提供不同频率的脉冲,在具体设计时将省略秒脉冲发生器部分。 (2)为了能下载到实验箱验证结果,方案二的译码电路部分采用方案一的显示电路。 三、选择器件 (1)同步十进制双时钟加减计数器74LS192(以下简称74192) (2)四2输入与非门74LS00(以下简称7400) (3)EP1C12核心板白色按钮(PB0,PB1) (4)EP1C12核心板贴片二极管(LED3) (5)EP1C12核心板数码管(仅两位) 四、功能模块 (1)25进制减法计数器 此部分采用两个74192构成25进制减法计数器,具备置数和清零的功能。

篮球24秒倒计时器设计

湖南工业大学 课程设计 资料袋 电气与信息工程学院(系、部)2016~2017 学年第 1 学期课程名称电子技术课程设计指导教师黄卓冕职称讲师 学生姓名谢富专业班级电气工程1401 学号14401300114 题目篮球比赛24秒计时器设计 成绩起止日期2016 年11 月14 日~2016 年11 月18 日 目录清单

湖南工业大学 课程设计任务书 2016年~2017学年第1 学期 电气与信息工程学院(系、部)电气工程专业1401 班级课程名称:电子技术课程设计 设计题目:篮球比赛24秒计时器设计 完成期限:自2016 年11 月14 日至2016 年11 月18 日共 1 周

安 排 2016.11.15--17 学生进行设计 2015.11.18 学生修改、打印设计报告 主要参考资料[1] 康华光电子技术基础模拟部分(第五版)高等教育出版社 2007年 [2] 欧伟明. 实用数字电子技术. 北京:电子工业出版社,2012 [3] 陆应华. 电子系统设计教程. 北京:国防工业出版社,2005 [4] 李忠波等. 电子技术仿真与实践. 北京:机械工业出版社,2004 指导教师(签字):年月日 系(教研室)主任(签字):年月日 电子技术课程设计 设计说明书 起止日期:2016 年11 月14 日至2016 年11 月18 日篮球比赛24秒计时器设计

学生姓名谢富 班级电气工程1401 学号14401300114 成绩 指导教师(签字) 电气与信息工程学院(部) 篮球比赛24秒计时器设计 设计目的及要求 一、设计要求 (1)设计指标 1、具有24秒计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时, 计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 6、将24秒递减计时器改为24秒递增计时器,试问电路要作哪些相应的改动。 它包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等五个部分组成。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路是控制计时器的直接清零、启动计数和暂停/连续计数、译码显示电路的显示和灭灯等功能。 为保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系: 1.操作直接清零开关时,要求计数器清零,数码显示器灭灯。 2.当启动开关闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,数码显示器显示24秒

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

秒篮球倒计时数电实验报告

秒篮球倒计时数电实验报告

————————————————————————————————作者:————————————————————————————————日期:

法商学院 《数字电路课程设计》 课程设计报告 专业:应用电子技术 班级:应电11301 姓名:周灵 姓名:李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。 图1-1 24秒计时器系统设计框图 二、单元电路分析

篮球24秒计时器课程设计报告

课程设计 题目篮球竞赛24秒计时器 学院自动化学院 专业自动化 班级自动化0902 姓名李鑫 指导教师杨莉林伟 2011 年 6 月30 日 目录 摘要 (2) ABSTRACT (3) 第1章设计任务及基本要求 (4) 1.1设计任务 (4) 1.2基本要求 (4) 第2章电路框图及工作原理 (4) 2.1电路框图 (4) 2.2 设计方案 (5) 第3章各单元电路的设计 (5) 3.1 24进制计数器的设计 (5) 3.2数码显示电路的设计 (7) 3.3秒脉冲的设计 (8) 3.4各控制开关的设计 (9) 3.5报警电路的设计 (11)

3.6整机工作原理 (12) 第4章电路仿真 (12) 第5章数字电路的连接与调试 (14) 结论............................................................................................. 错误!未定义书签。 参考文献 (16) 附录一篮球竞赛24秒计时器总电路原理图......................... 错误!未定义书签。 附录二原器件清单表 (18) 摘要 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 本设计主要能完成:电路具有24秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为1秒;当计时器递减计时到零时,显示器显示00,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,得到了预期的结果。 关键词:24秒计数报警清零暂停 ABSTRACT

multisim篮球24秒倒计时器期末论文

安徽财经大学本科毕业设计(论文)第1页 安徽财经大学 Multisim期末设计 篮球比赛24秒倒计时器 年级: x信工x班 学号: 201xxxxxx 姓名: xxxxx 专业: 电子信息工程 指导老师: xxxx 二零一三年六月

目录 摘要 (1) 1.引言 (1) 1.1目的和意义 (3) 1.2 本系统主要研究内容 (3) 2.系统分析 (3) 2.1 系统组成 (3) 2.2 系统工作原理 (4) 3.系统硬件设计 (4) 3.1系统硬件总体设计 (4) 3.2子系统(模块)一 (4) 3.3子系统(模块)二 (6) 4.系统软件设计 (7) 4.1 系统软件总体设计 (7) 4.2 子系统一 (8) 4.3子系统二 (8) 5.系统使用说明 (10) 5.1 系统安装及配置说明 (10) 5.1.1 系统运行环境 (10) 5.1.2 系统安装及配置 ........................................................... 错误!未定义书签。 5.2 系统操作说明 (10) 6.结论 (10) 参考文献 (11) 附录 ................................................................................................................ 错误!未定义书签。致谢 ................................................................................................................ 错误!未定义书签。

NBA篮球竞赛24秒计时器设计

NBA篮球竞赛24秒计时器的设计 一.计时器电路的设计要求 要求:设计一个24秒计时器显示报警系统:电路启动后开始倒计时,计时间隔为1s,并要求由555定时器构成的多谐振荡器产生周期为1s的脉冲信号,作为计数器的时钟脉冲, 二位7段显示秒,倒计时至0秒时,电路报警。报警声持续3S,显示器不能灭灯.计时器的直接清零、启动和暂停/连续功能利用外部开关控制。 二.系统设计结构框图 根据给定设计任务及要求,确定系统原理框图如图1所示。图中,计数器完成24秒倒计时功能, 控制电路完成计数器的直接清零、启动计数、暂停/连续计时,译码显示电路的显示与熄灭、定时时间到报警功能。 图1.系统设计结构框图 三.电路设计所采用的主要芯片简介 1.74LS192集成计数器 (1)74LS192引脚图如下

2.555定时器 555 定时器是一种模拟和数字电路混合的集成电路。它结构简单、性能可靠、使用灵活,在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。目前生产的定时器有双极型和CMOS 两种类型.通常,双极型定时器具有较大的驱动能力,而CMOS 定时器具有低功耗、输入阻抗高等优点。555 定时器工作的电源电压范围很宽,并可承受较大的负载电流。 74LS47 用于驱动共阳极数码管,其输出端与七段显示器相连,七段显示器用于显示数字和字符。它由7 条发光二极管(a~g)和 1 个点状发光二极管(h) 组成。是共阴极器件(阴极接地),给a~g 高电平,相应的二极管发光。

四.电路各个功能部分设计 1.标准秒脉冲发生电路 标准秒脉冲发生电路如图2所示, 它是由555定时器组成的多谐振荡器。定时元件R1 为630kΩ, R2 为400kΩ, C为1μF,产生1Hz的标准脉冲信号。 计算公式如下: 充电时间为=(+)Cln2 ≈ 0.7 (+) C 放电时间为=Cln2 ≈ 0.7 C 振荡周期为T =+≈ 0.7 (+ 2 ) C 振荡频率为 图2.标准秒脉冲发生电路

篮球24秒倒计时器课程设计报告

厦门城市学院 2014-2015学年第一学期 《EDA软件设计》 ——课程设计论文 课题:篮球比赛24秒倒计时器的设计与仿真分析 所在系部:电子信息与工程系 年级:11级 班级:电信Z1 姓名:林鑫豪 学号:W140242131 提交时间:2015 年 1 月8 日

一、设计题目 篮球比赛24秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

单片机课程设计(24秒篮球计数器)

1.引言 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 数字钟是采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于比赛,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 2 设计要求 1、具有24s计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停 /连续功能。 3、计时器为24秒递减时,计时间隔为1秒。 4、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 5、有直接清零然后恢复到24秒,准备重新开始计数。 学生在教师指导下,综合运用所学知识完成基于单片机的篮球比赛24秒计时器设计。要求设计一个24秒计时电路,并具有时间显示的功能。 要求: 1、设置外部操作开关,控制计数器的直接清零、启动和暂停/连续计时。

2、要求计时电路递减计时,每隔1秒钟,计时器减1。 3、当计时器减到0时,显示器上显示00,同时发出光电报警信号。 3设计思路: 选用AT89C51作为主控芯片,晶振是6KHz,机械周期为1ms,所以循环10次为1s。P0口作为段码输出,P2.0、P2.1作为位控,高电平有效。数码管是液晶显示,采用动态显示,两个串行口作为中断入口,高电平有效,启动T0定时器/计数器进行计数,低电平有效。图2.2.1是系统硬件设计电路图一。 时间设置完后,启动定时器T0开始定时计数。计时采用倒计时,比如:设置的时间为24秒钟,则在LED上显示24两位数。定时T0计数24秒后中断返回,继续定时计数下一个24秒;同时则在2位LED显示器上显示,表示时间已经过去1秒钟,即为23秒。这样一直持续下去。知道变为“00”时表示赛程结束。如果比赛中裁判叫停,则只要按下键,即可暂停计时。

数字电子技术课程设计-篮球24秒计时课程设计

数字电子技术课程设计 报告

题目篮球竞赛24S计时器 姓名:李想 专业:光电信息科学与工程 班级:132班 学号:180335244 任课老师:刘念 篮球竞赛24S计时器 刖言 计时器概述 数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理 论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码

管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 一、设计任务及要求 1设计任务 本设计主要能完成:在篮球比赛中,规定球员的持球时间不能超过24秒,否则就犯规了。本课程设计“篮球24秒计时器”可用于篮球比赛中,用于对球员持球24秒的限制。一旦球员持球时间超过24秒,他就报警。 2设计要求 1、电路具有时间显示功能, 2、要求电路为24秒递减计时,; 3、元器件及参数选择 4、要求外部开关控制清零,暂停和复位,; 5、电路仿真与调试; 3、主要器材:1、七段共阴极数码2个 2、74LS192D 3、74LSO8D 4、74LSOOD 5、蜂鸣器 6、发光二极管 7、脉冲信号源&单刀双掷开关。 (4)编写设计报告

篮球比赛24秒计时器的设计

赣南师院 物理与电子信息学院数字电路课程设计报告书 姓名: 班级:电子信息工程09级 学号: 时间:2011年 6 月15日

论文题目篮球比赛24秒计时器的设计 课程论文要求在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就违例了。本课程设计的“篮球比赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的违例。 具体要求如下: 1、设计一个篮球比赛24秒计时器,具备显示24秒计时功能; 2、计时器为递减工作,时间间隔为1S; 3、递减到零时发光报警; 4、设置外部开关,控制计时器的启动、暂停。 设计过程一、设计方案 1、硬件实验:本次试验由秒脉冲发生器、计数器、译码与显示电路、报警 电路和控制电路(辅助时序控制电路)等五个部分组成,本设 计利用555作为振荡电路,74LS161实现十分频,由74LSl92、 74LS48和七段共阴LED数码管构成计时电路,具有计时器 启动、暂停、连续计时和报警功能,绘制好电路图,焊好电路 板。 2、软件实验:在QUARTUSII软件中,运用VHDL语言编写程序,其中 包括计数器,计时器,控制部分以及七段显示码译码器部分, 再利用仿真检测结果,最后到实验室下载记录结果,实现功能。 二、设计思路 在NBA篮球比赛中有一个24秒进攻规则,即从获取球权到投篮击中篮板、篮框、命中或投篮被侵犯,其有效时间合计不能超过24秒,否则被判违例,将失去球权。在此过程中,设置24秒、启动倒计时、暂停倒计时或者中途终止24秒(即球权归对方)均由裁判控制。 1、计数器和控制电路是系统的主要部分,计数器完成24秒计时功能,而 控制电路具有直接控制计数器的启动计数、暂停/连接计数、译码显示 电路的显示和灭灯等功能。 本设计采用555作为振荡电路,由74LSl92、74LS48和七段共阴LED 数码管构成计时电路,具有计时器、启动、暂停、连续计时和报警功能。 该电路制作、调试简单,采用普通器件,一装即成

篮球竞赛24秒计时器设计-

数字电子技术课程设计说明书 篮球竞赛24秒计时器设计 系、部:电气与信息工程学院 学生姓名: 指导教师:职称讲师 专业:电气 班级:电气 完成时间: 2012-6-6

前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

目录 第1章电路方案的选择及电路框图 (5) 设计方案 (5) 电路框图 (5) 第2章设计思路及其工作原理的介绍 (6) 设计思路 (6) 基本原理 (6) 第3章单元电路的设计 (8) 24进制计数器的设计 (8) 数码显示电路的设计 (9) 秒脉冲的设计 (11) 控制开关电路的设计 (13) 报警电路的设计 (13) 整机工作原理 (14) 第4章电路仿真 (15) 计时预备阶段 (15) 计时阶段 (16) 暂停\连续功能的实现 (17) 电路报警 (18) 第5章安装及调试步骤 (19) 第6章故障分析与电路改进 (20) 故障的分析和解决 (20) 电路的改进 (20) 结束语 (21) 参考文献 (22)

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

相关文档
最新文档