时序逻辑电路

时序逻辑电路
时序逻辑电路

第五章时序逻辑电路

题5.1 试用负边沿JK触发器和“与-或-非”门构成一个四位数码并行寄存和一个四位数码串行输入右移移位寄存器。

解:令C是并行寄存数据和实现右向移位操作的控制端,其用JK触发器构成的框图如图所示:

令C=1并行存数,C=0时为右移串入后,得出各组合电路的逻辑函数,现以1J

3和1K

3

函数为

例,列出真值表,求出函数式,其它式子也照此类推。

233311Q C CD K J +==

12

2211Q C CD

K J +==

011111Q C CD K J +== SR D C CD K J +==00011

题5.2 图题5.19是一个实现串行加法的电路图,被加数11011及加数10111已分别存入二个五位被加数和加数移位寄存器中。试分析并画出在六个时钟脉冲作用下全加器输出S i 端、进位触发器Q 端以及和数移位寄存器中左边第一位寄存单元的输出波形(要求时间一一对应)。

图题5.19

解:解该题时,注意全加器是一个合逻辑电路,而移位寄存器和触发器是一个时序电路,要注意时序关系。其波形如图:

题5.3 (1)试分析图题5.20(a)、(b)所示计数器的模是多少?采用什么编码进行计数? (2)若计数脉冲频率f CP 为700Hz 时,从Q 2端、Q 0端输出时的频率各为多少?

图题5.20

解:分析计数器电路有多种方法,列表法:以CP 为顺序,依次列出触发器的初态、输入,和次态,可以得出结论。但在异步计数器时,要注意有无CP 脉冲。

写出各触发器的状态方程,依次设定初态,用计算方法求得次态,得出结论。同样注意,状方程有效必须有CP 脉冲。

写出各触发器的状态方程后,用填卡诺图的方法,得出结论。下面用写出各触发器状态方程后,依次设定初态计算法为例:

(a) 是一个同步计数器,各触发器激励方程

"1"1,10120==K Q Q J n n n n n Q Q K Q J 021011,1== "1"1,12012==K Q Q J n

n

触发器激励方程代入各自的特性方程求得状态方程: n

n n n n n Q Q Q Q K Q J Q 01222221

2=+=+ n

n

n

n

n

n

n

n Q Q Q Q Q Q K Q J Q 0120111111

1

+=+=+ n

n

n

n

n

n

n Q Q Q Q Q K Q J Q 010200001

+=+=+

依次设定初态,计算出次态如下: 初态设定从

000

012=n

n n Q Q Q 开始,→001→010→011→100→001

101

012=n

n

n

Q Q Q →010,

110

012=n

n

n

Q Q Q →000,

111

012=n

n n Q Q Q →000

有状态转换图为:

111→000←110 所以电路的模是M =4,采用余1码进行计数

↓ 四分频后,最高位的输出频率为 001→010←101 700/4=175Hz ,电路能自启动。 ↑ ↓ 100← 011

(b) 电路是一个异步计数器,写出状态方程的方法同上,但每个状态方程后面要带CP 方程,该状态方程才有效。

各级触发器的状态方程为: n

n n n n Q CP Q Q K Q J Q 12222221

2

,==+=+

CP CP Q Q Q Q Q Q K Q J Q n

n

n

n

n

n

n

n =+=+=+21020111111

1

,

CP

CP Q Q Q Q K Q J Q n

n

n

n

n

n ==+=+101200001

,

依次设定初态后,计算求得结果如下:

111→000→001→010→011 所以电路的模为M =7,采用421编码进行计数,

↑能自启动,最高位的输出频率为

110←101←100← 700/7=100Hz

题5.4 试简述分析同步和异步时序逻辑电路的一般方法。

解:经过上述二个时序逻辑电路的分析可知:

①写出时序电路中各触发器的驱动方程,特性方程,驱动方程代入特性方程后求得触发器的特性方程,和CP方程(同步时序电路可以不写);

②依次设定初始状态,代入状态方程,求得次态,初态一般设为从0000开始;

③由求得的状态,画出状态转换图(把所有的状态都画上);

④根据状态转换图,可以画出波形图(时序图);

⑤得出电路的功能结论(计数器的模、进制数、能否自启动或其它结论);

分析时序电路还可以用其它的方法,本题不一一列出。

题5.22 三相步进马达对电脉冲的要求如图题5.22所示,要求正转时,三相绕组Y0、Y1、Y2按A、B、C的信号顺序通电,反转时,Y0、Y1、Y2绕组按A 、C、B的信号顺序通电(分别如图中的状态转换图所示)。同时,三相绕组在任何时候都不允许同时通电或断电。试用JK触发器设计一个控制步进马达正反转的三相脉冲分配电路。

图题5.22

解:根据已知的波形图,这是一个三相脉冲六个节拍波形,在令X=1正转,X=0反转时,其状态转的图为:

X=1正转时状态图

100→110→010

↑ ↓ 101←001←011

X=0反转时的状态图

100→101→001 ↑ ↓ 110←010←011

代表三相电脉冲A(Y 0),B(Y 1),C(Y 2)需要三个JK 触发器驱动,三相电脉冲ABC 用

C

B A Q Q Q 表示后,列出真值表如下:

用卡诺图化简后得:

B C A B C C B A XQ Q X K XQ Q X Q X Q X J +=+=+=,

同理:C A B C A B XQ Q X K XQ Q X J +=+=, 同理:A B C A B C XQ Q X K XQ Q X J +=+=,

当三相线圈不允许同时为0,或为1时,用D R 、D S 进行处理。则当出现000或

111时,利用D R ,D S 置成100状态。

所以C B A C B A DC DB DA Q Q Q Q Q Q R R S +===

图中不允许出现的状态置成100部分电路图没有画出来。 用D 触发器时,三个驱动方程为:

A

B C C A B B C A XQ Q X D XQ Q X D XQ Q X D +=+=+=,,

不允许出现000和111状态用置“0”置“1”处理,即:

C

B A

C B A DC DB DA Q Q Q Q Q Q R R S +===

题5.5 TTL 电路组成的同步时序电路如图题5.23所示。

1 试分析图中虚线框内电路,画出Q 1、Q 2、Q 3波形,并说明虚线框内电路的逻辑功能。

2 若把电路中的Z 输出和各触发器的置零端CR 连接在一起,试说明当X 1X 2X

3 为110时,整个

电路的逻辑功能是什么?

图题5.23

解: 1.每级触发器的状态方程

n

n n n n n n n n n n n Q Q Q Q Q Q Q Q Q Q Q Q 031

1

12121

2

1231

3

,,=+==+++

经分析后,其状态转换图为:000→001→010→011→100

↑ ↓

电路是一个同步五进制可以自启动的加法计数器。(未画出无效态) 2.

)

()()(332211Q X Q X Q X Z ⊕+⊕+⊕=,当

110

321=X X X 时,

321Q Q Q Z ++=,当123Q Q Q 出现011状态时,0==Z CR 使计数器的状态清0,故此种

情况下,整个电路功能为一个三进制加法计数器。

题5.6 中规模集成计数器74LS193功能表和引脚图分别如题表5.24和图题5.24所示,其中CO 和BO 分别为进位和错位输出。

1 请画出进行加法计数实验时的实际连接电路。

2 试通过外部电路的适当连接,将74LS193连接成8421编码的十进制减法计数器。

题表5.24 74LS193功能表

图题5.24

解:1.电路是一个双时钟触发的可逆计数器,要实现加法计数时,应按功能表的第三行要求连接,其连接电路如图:

四位二进制加法计数连接图

2. 连接成8421码十进制减法的方法有多种,可用反馈清“0”实现,也可用置数法实现,本例用置数法实现。

十进制减法的状态转换图为: 0000→1001→1000→0111→0110

↑ ↓

0001←0010←0011←0100←0101

“1”

当计数器在初始0000时,来一个减计数脉冲后,先变成1111,然后利用1111把计数器置成1001,然后再作减1计数。所以,0,11203====D D D D ,0123Q Q Q Q L D = 或D CC L Q =; 连接后的电路图为:

题5.7 电路如图题5.25所示。

1.令触发器的初始状态为Q 3Q 2Q 1=001,请指出计数器的模,并画出状态转换图和电路工作的时序图。

2.若在使用过程中F2损坏,欲想用一个负边沿D 触发器代替,问电路应作如何修改,才能实现原电路的功能。画出修改后的电路图。(可只画修改部分的电路)

图题5.25

解: 1. 写出各级触发器的状态方程如下:

n

n

n

n n n Q Q Q Q Q T Q 3

123331

3

)(⊕+=⊕=+

n

n

n Q Q Q

3

212

+=+

n

n

n

n Q Q Q Q 1

211

1

+=+

依次设定初态,代入状态方程计算后得到状态转换图为(123Q Q Q ):

100 ↓

其状态转换图为:

←111←000 时序图为:

计数器的模为5。

2.用D 触发器时,为保持功能相同,则F 2的现次态状态转换应不变,从而得到D

2端的

输入状态要求,求出D 2的驱动方程

题5.8 中规模同步四位二进制计数器(74LS161)的功能表和引脚图分别如表题5.8和图题

5.8(a)所示;

1.请用置零法设计一个七进制加法计数器,其状态转换要求如图题5.8(b)所示。

2.试用一片74LS161及图题5.8(c)电路设计成一个能自动完成加、减循环计数的计数 器。即能从000加到111,再从111减到000循环。 题表5.8 74LS161功能表

图题5.8

解: 1.电路是异步清除,所以,当计数器计至0111时,应使012Q Q Q C r =0,计数器清“0”,然后重新开始计数。所以电路图为:

2.由于74LS161只能作加法计数,要实现000→111→000加法/减循环计数时,其输出只能取自图5.26(c)电路,计数器输出再经图5.26(c)电路作变换后输出,变换电路真值表如下:

题5.9 请总结设计时序逻辑电路的一般过程或步骤。

①分析题意,确定所需的状态数和触发器个数;

②根据题意,画出原始状态转换图;

③进行状态化简,(合并状态,二个以上状态在输入相同,输出也相同时而转向的次态也相同

时,称为二个状态等价,可以合并成为一个状态),状态数越少电路一般越简;

④状态分配(也称状态编码);

⑤画现次态状态转换和该转换对各触发器激励端的状态要求、输出表;

⑥求出各触发器激励端和输出的函数(通常用卡诺图求,并且把不出现的变量组合都当作约束项处理;

⑦根据所求的逻辑函数,画出完整的电路图;

⑧校验能否自启动,不能时要重新设计;

题5.10 参考教材图5.44和图5.45,试分析GAL16V8器件最大可实现模为几的二进制计数器?为什么?

解:模256。

题5.11 参考教材图5.44和图5.45,试分析GAL16V8器件最多可实现几个逻辑变量输入的逻辑函数?最多可实现几个输出?

解:最多16个输入,8个输出。

题5.12 (上机题)设计一个可变模数减法计数器,要求当S1S0=00时, 模为4;S1S0=01时,模为7;S1S0=10时,模为10;S1S0=11时,模为16。要求:

(1)在Lattice 公司的ISP Synario 开发软件环境下,用ABEL-HDL 语言描述该电路的逻辑功能; (2)给出各输出逻辑函数的最简“与或”表达式; (3)给出逻辑功能的仿真波形。

解: ;2&3#1&3#0&3#1&0&!1&!2&!3!:3Q Q Q Q Q Q S Q Q Q Q Q =

;1&2#0&2#0&!1&!2&!3#1&!0&0&1&!2!:2Q Q Q Q Q Q Q Q S S Q Q Q Q =

;1&!0&!1!#0&!1&!3#0&!1&!2#0&0&!1!#0&1:1S Q Q Q Q Q Q Q Q S Q Q Q Q Q = ;1&0#&!0!#3&!2#0&!1#0&!0!:0S Q Q Q Q Q Q S Q Q =

时序逻辑电路练习题

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D触发器的D端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。20.单稳态触发器在外加触发信号作用下能够由状态翻转到状态。21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲宽度,则占空比应为_______。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

(完整版)时序逻辑电路习题与答案

第12章时序逻辑电路 自测题 一、填空题 1.时序逻辑电路按状态转换情况可分为时序电路和时序电路两大类。 2.按计数进制的不同,可将计数器分为、和N进制计数器等类型。 3.用来累计和寄存输入脉冲个数的电路称为。 4.时序逻辑电路在结构方面的特点是:由具有控制作用的电路和具记忆作用电路组成。、 5.、寄存器的作用是用于、、数码指令等信息。 6.按计数过程中数值的增减来分,可将计数器分为为、和三种。 二、选择题 1.如题图12.1所示电路为某寄存器的一位,该寄存器为 。 A、单拍接收数码寄存器; B、双拍接收数码寄存器; C、单向移位寄存器; D、双向移位寄存器。 2.下列电路不属于时序逻辑电路的是。 A、数码寄存器; B、编码器; C、触发器; D、可逆计数器。 3.下列逻辑电路不具有记忆功能的是。 A、译码器; B、RS触发器; C、寄存器; D、计数器。 4.时序逻辑电路特点中,下列叙述正确的是。 A、电路任一时刻的输出只与当时输入信号有关; B、电路任一时刻的输出只与电路原来状态有关; C、电路任一时刻的输出与输入信号和电路原来状态均有关; D、电路任一时刻的输出与输入信号和电路原来状态均无关。 5.具有记忆功能的逻辑电路是。 A、加法器; B、显示器; C、译码器; D、计数器。 6.数码寄存器采用的输入输出方式为。 A、并行输入、并行输出; B、串行输入、串行输出; C、并行输入、串行输出; D、并行输出、串行输入。 三、判断下面说法是否正确,用“√"或“×"表示在括号 1.寄存器具有存储数码和信号的功能。( ) 2.构成计数电路的器件必须有记忆能力。( ) 3.移位寄存器只能串行输出。( ) 4.移位寄存器就是数码寄存器,它们没有区别。( ) 5.同步时序电路的工作速度高于异步时序电路。( ) 6.移位寄存器有接收、暂存、清除和数码移位等作用。() 思考与练习题 12.1.1 时序逻辑电路的特点是什么? 12.1.2 时序逻辑电路与组合电路有何区别? 12.3.1 在图12.1电路作用下,数码寄存器的原始状态Q3Q2Q1Q0=1001,而输入数码

时序逻辑电路(

第六章时序逻辑电路 内容提要 【熟悉】触发器四种电路结构及动作特点,四种逻辑功能及其逻辑关系、逻辑符号,逻辑功能的四种描述方法 【掌握】时序电路的特点和一般分析方法 【熟悉】寄存器的功能、分类及使用方法, 双向移位寄存器的级联【掌握】计数器的功能和分类,级联法、置位法构成N进制计数器【掌握】555定时器构成三种电路的工作特点、连接方法及主要参数一.一.网上导学 二.二.典型例题 三.三.本章小结 四.四.习题答案 网上导学 §6.1时序逻辑电路的特点 时序逻辑电路的特点:任意时刻的输出不仅取决于该时刻的输入,而 且还和电路原来的状态有关,所以时序电路具有记 忆功能。 在第五章中,向大家介绍了组合电路。 组合电路的特点是其任意时刻的输出状态仅取决于该时刻的输入状态。 2.时序电路逻辑功能描述方法 在上面给出的时序电路结构框图中,包括组合逻辑电路和具有记忆功能的存储电路。 输出变量y1,y2,y3。。。。y b,合称输出矢量Y(t)。 输入变量x1,x2,x3。。。。x a,合称输入矢量X(t)。 同样,存储电路的输入、输出称之为矢量P(t)和矢量Q(t)

按照结构图,我们可以列出三组方程:设tn+1,tn分别为相邻的两个离散的时间瞬间。 矢量Y(tn)是X(tn),Q(tn)的函数,称输出方程。 矢量P(tn)是X(tn),Q(tn)的函数,称驱动方程。 矢量Q(tn+1)是P(tn),Q(tn)的函数,称状态方程。 本节问答题 1.1.什么叫组合逻辑电路? 2.2.什么叫时序逻辑电路? 3.3.它们在逻辑功能和电路结构上各有什么特点? 4.4.在时序电路中,时间量tn+1,tn各是怎样定义的?描述时序电路功能需要几个方程,它们各表示什么含义? §6.2触发器 在这一节中,向大家介绍一种最基本的存储电路触发器(flip-flop)。触发器具有以下基本特点: (1)具有两个稳定的(0和1)状态,能存储一位二进制信息; (2)根据不同的输入,可将输出置成0或1状态; (3)当输入信号消失后,被置成的状态能保存下来。 6.2.1 基本RS触发器 一.电路结构及逻辑符号 在本书第三章里,我们讲了各种门电路,若把两个反相器按照a 图的形式连接起来,可以看出,A点和B点信号是反相的,而A点和C点始终保持同一电平。这样,可以把A,C视为同一点(下面的b 图和c图)。在C图中,A,B两点始终反相,而且电路状态稳定,在没有外界干扰或者触发的状态下,电路能够保持稳定的输出。(这一

时序逻辑电路

时序逻辑电路 时序逻辑电路简称时序电路,与组合逻辑电路并驾齐驱,是数字电路两大重要分支之一。本章首先介绍时序逻辑电路的基本概念、特点及时序逻辑电路的一般分析方法。然后重点讨论典型时序逻辑部件计数器和寄存器的工作原理、逻辑功能、集成芯片及其使用方法及典型应用。最后简要介绍同步时序逻辑电路的设计方法。 1 时序逻辑电路的基本概念 一.时序逻辑电路的结构及特点 时序逻辑电路——电路任何一个时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关。 时序电路中必须含有具有记忆能力的存储器件。存储器件的种类很多,如触发器、延迟线、磁性器件等,但最常用的是触发器。 由触发器作存储器件的时序电路的基本结构框图如图6.1.1所示,一般来说,它由组和电路和触发器两部分组成。 二. 时序逻辑电路的分类 按照电路状态转换情况不同,时序电路分为同步时序电路和异步时序电路两大类。 按照电路中输出变量是否和输入变量直接相关,时序电路又分为米里(Mealy )型电路和莫尔(Moore )型电路。米里型电路的外部输出Z 既与触发器的状态Q n 有关,又与外部输入X 有关。而莫尔型电路的外部输出Z 仅与触发器的状态Q n 有关,而与外部输入X 无关。 6.2 时序逻辑电路的一般分析方法 1X i X Z 1Z j ê?è?D?o?D?o? ê?3? ·¢?÷ ′¥·¢?D?o? ê?3?D?o? í?6.1.1 ê±Dò???-μ??·?òí?

一. 分析时序逻辑电路的一般步骤 1.根据给定的时序电路图写出下列各逻辑方程式: (1)各触发器的时钟方程。 (2)时序电路的输出方程。 (3)各触发器的驱动方程。 2.将驱动方程代入相应触发器的特性方程,求得各触发器的次态方程,也就是时序逻辑电路的状态方程。 3.根据状态方程和输出方程,列出该时序电路的状态表,画出状态图或时序图。 4.根据电路的状态表或状态图说明给定时序逻辑电路的逻辑功能。 下面举例说明时序逻辑电路的具体分析方法。 二.同步时序逻辑电路的分析举例 例6.2.1:试分析图6.2.2所示的时序逻辑电路 图6.2.2 例6.2.1的逻辑电路图 解:由于图6.2.2为同步时序逻辑电路,图中的两个触发器都接至同一个时钟脉冲源CP ,所以各触发器的时钟方程可以不写。 (1)写出输出方程: n n Q Q X Z 01)(?⊕= (6.1.5) (2)写出驱动方程: n Q X J 10⊕= 10=K (6.1.6a ) n Q X J 01⊕= 11=K (6.1.6b ) (3)写出JK 触发器的特性方程n n n Q K Q J Q +=+1,然后将各驱动方程代入JK 触发器的特性方程,得各触发器的次态方程: n n n n n Q Q X Q K Q J Q 0100001 0)(⊕=+=+ (6.1.7a ) n n n n n Q Q X Q K Q J Q 10111111 )(?⊕=+=+ (6.1.7b ) (4)作状态转换表及状态图 由于输入控制信号X 可取1,也可取0,所以分两种情况列状态转换表和画状态图。 ①当X =0时。 将X =0代入输出方程(6.1.5)和触发器的次态方程(6.1.7),则输出方程简化为: n n Q Q Z 01=;触发器的次态方程简化为:n n n Q Q Q 011 =+ ,n n n Q Q Q 1011=+。 设电路的现态为0001=n n Q Q ,依次代入上述触发器的次态方程和输出方程中进行计算,得到电路的状态转换表如表6.2.1所示。 根据表6.2.1所示的状态转换表可得状态转换图如图6.2.3所示。 CP X Z

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

时序逻辑电路51时序逻辑电路的基本概念1时序逻辑电路

第5章时序逻辑电路 5.1 时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分组成。 图5.1 时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 (1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同步时序电路较复杂,其速度高于异步时序电路。 (2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出Z既与触发器的状态Q n有关,又与外部输入X有

关。而摩尔型电路的外部输出Z仅与触发器的状态Q n有关,而与外部输入X无关。 (3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且都是分析和设计时序电路的基本工具。 5.2 时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。(2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1 同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图5.10所示。 图5.10 同步时序电路的设计过程

时序逻辑电路题

《时序逻辑电路》练习题及答案 [5.1] 分析图P5.1时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 图P5.1 [解] 驱动方程:311Q K J ==, 状态方程:n n n n n n n Q Q Q Q Q Q Q 13131311⊕=+=+; 122Q K J ==, n n n n n n n Q Q Q Q Q Q Q 1221211 2 ⊕=+=+; 33213Q K Q Q J ==,, n n n n Q Q Q Q 1231 3 =+; 输出方程:3Q Y = 由状态方程可得状态转换表,如表5.1所示;由状态转换表可得状态转换图,如图A5.1所示。电路可以自启动。 表5.1 图A5.1 电路的逻辑功能:是一个五进制计数器,计数顺序是从0到4循环。 [5.2] 试分析图P5.2时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。 图P5.2

[解] 驱动方程:21Q A D =, 212Q Q A D = 状态方程:n n Q A Q 21 1 =+, )(12211 2 n n n n n Q Q A Q Q A Q +==+ 输出方程:21Q Q A Y = 表5.2 由状态方程可得状态转换表,如表5.2所示;由状态转换表 可得状态转换图,如图A5.2所示。 电路的逻辑功能是:判断A 是否连续输入四个和四个以上“1” 信号,是则Y=1,否则Y=0。 图A5.2 [5.3] 试分析图P5.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,检查电路能否自启动。 图P5.3 [解] 321Q Q J =,11=K ; 12Q J =,312Q Q K =; 23213Q K Q Q J ==, =+1 1 n Q 32Q Q ·1Q ; 211 2Q Q Q n =++231Q Q Q ; 323211 3 Q Q Q Q Q Q n +=+ Y = 32Q Q 电路的状态转换图如图A5.3所示,电路能够自启动。 图A5.3 [5.4] 分析图P5.4给出的时序电路,画出电路的状态转换图,检查电路能否自启动,说明电路实现的功能。A 为输入变量。

时序逻辑电路习题解答

5-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

实验十 Moore型同步时序逻辑电路的分析与设计

实验十Moore型同步时序逻辑电路的分析与设计 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.掌握时序逻辑电路的测试方法。 二.实验原理: 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

(7)利用卡诺图如图2,求状态方程、驱动方程。 (8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的 状态转换图,如图3所示,检查是否能自启动。

电子技术基础复习题-时序逻辑电路(1)

《电子技术基础》复习题 时序逻辑电路 一、填空题: 1.具有“置0”、“置1”、“保持”和“计数功能”的触发器是() 2.触发器有门电路构成,但它不同门电路功能,主要特点是:() 型触发器的直接置0端Rd、置1端Sd的正确用法是() 4.按触发方式双稳态触发器分为:() 5.时序电路可以由()组成 6.时序电路输出状态的改变() 7.通常寄存器应具有()功能 8.通常计数器应具有()功能 9. M进制计数器的状态转换的特点是设初态后,每来()个CP时,计数器又重回初态。 10.欲构成能记最大十进制数为999的计数器,至少需要()个双稳触发器。 11. 同步时序逻辑电路中所有触发器的时钟端应()。 二、选择题: 1.计数器在电路组成上的特点是() a)有CP输入端,无数码输入端 b) 有CP输入端和数码输入端 c) 无CP输入端,有数码输 入端 2.按各触发器的状态转换与CP的关系分类,计数器可分为()计数器。 a)加法、减法和加减可逆 b)同步和异步 c)二、十和M进制 3. 按计数器的状态变换的规律分类,计数器可分为()计数器。 a)加法、减法和加减可逆 b)同步和异步 c)二、十和M进制 4 按计数器的进位制分类,计数器可分为()计数器。 a)加法、减法和加减可逆 b)同步和异步 c)二、十和M进制 5. n位二进制加法计数器有()个状态,最大计数值是()。 a)2n-1 b)2n c)2n-1 6.分析时序逻辑电路的状态表,可知它是一只()。 (a) 二进制计数器(b)六进制计数(c) 五进制计数器 7. 分析如图所示计数器的波形图,可知它是一只()。 (a) 六进制计数器(b) 七进制计数器(c) 八进制计数器

实验三时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第 9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由 8 个 LED 组成,工作时始终为 1 暗 7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将 TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲 CP、触发器的输出端 Q2、Q1、 Q0 和 8 个 LED 上的波形。 2、序列发生器(第 10 周课内实物验收计数器方案)分别用 MSI 计数器和移位寄存器设计一个具有自启动功能的 01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入 TTL 连续脉冲,用示波器观察观察并记录时钟脉冲 CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨 0 和 1,从高电平到低电平的跳变代表 0,而从低电平到高电平的跳变代表 1。信号的保持不会超过一个比特位的时间间隔。即使是 0 或 1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图 3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载 4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当 4 位数据全部传输完成后,重新加载新数据,继续传输,如图 3.2 所示。

时序逻辑电路习题解答解读

自我测验题 1.图T4.1所示为由或非门构成的基本SR锁存器,输入S、R的约束条件是。A.SR=0B.SR=1C.S+R=0D.S+R=1 Q G 22 Q R S 图T4.1图T4.2 2.图T4.2所示为由与非门组成的基本SR锁存器,为使锁存器处于“置1”状态, 其R S?应为。 A.R S?=.R S?=10D.R S?=11 3.SR锁存器电路如图T4.3所示,已知X 、Y波形,判断Q的波形应为A、B、C、D 中的。假定锁存器的初始状态为0。 X Y X Y A B C D 不定 不定 (a)(b) 图T4.3 4.有一T触发器,在T=1时,加上时钟脉冲,则触发器。 A.保持原态B.置0C.置1D.翻转 5.假设JK触发器的现态Q n=0,要求Q n+1=0,则应使。 A.J=×,K=0B.J=0,K=×C.J=1,K=×D.J=K=1 6.电路如图T4.6所示。实现A Q Q n n+ = +1的电路是。

A A A A A . B . C . D . 图T4.6 7.电路如图T4.7所示。实现n n Q Q =+1的电路是 。 CP CP CP A . B . C . D . 图T4.7 8.电路如图T4.8所示。输出端Q 所得波形的频率为CP 信号二分频的电路为。 1 A . B . C . D . 图T4.8 9.将D 触发器改造成T T Q 图T4.9 A .或非门 B .与非门 C .异或门 D .同或门 10.触发器异步输入端的作用是。 A .清0 B .置1 C .接收时钟脉冲 D .清0或置1 11.米里型时序逻辑电路的输出是。 A .只与输入有关

同步时序逻辑电路的分析方法

时序逻辑电路的分析方法 时序逻辑电路的分析:根据给定的电路,写出它的方程、列出状态转换真值表、画出状态转换图和时序图,而后得出它的功能。 同步时序逻辑电路的分析方法 同步时序逻辑电路的主要特点:在同步时序逻辑电路中,由于所有触发器都由同一个时钟脉冲信号CP来触发,它只控制触发器的翻转时刻,而对触发器翻转到何种状态并无影响,所以,在分析同步时序逻辑电路时,可以不考虑时钟条件。 1、基本分析步骤 1)写方程式: 输出方程:时序逻辑电路的输出逻辑表达式,它通常为现态和输入信号的函数。 驱动方程:各触发器输入端的逻辑表达式。 状态方程:将驱动方程代入相应触发器的特性方程中,便得到该触发器的状态方程。 2)列状态转换真值表: 将电路现态的各种取值代入状态方程和输出方程中进行计算,求出相应的次态和输出,从而列出状态转换真值表。如现态的起始值已给定时,则从给定值开始计算。如没有给定时,则可设定一个现态起始值依次进行计算。 3)逻辑功能的说明: 根据状态转换真值表来说明电路的逻辑功能。 4)画状态转换图和时序图: 状态转换图:是指电路由现态转换到次态的示意图。 时序图:是在时钟脉冲CP作用下,各触发器状态变化的波形图。 5)检验电路能否自启动 关于电路的自启动问题和检验方法,在下例中得到说明。

2、分析举例 例、试分析下图所示电路的逻辑功能,并画出状态转换图和时序图。 解:由上图所示电路可看出,时钟脉冲CP加在每个触发器的时钟脉冲输入端上。因此,它是一个同步时序逻辑电路,时钟方程可以不写。 ①写方程式: 输出方程: 驱动方程: 状态方程: ②列状态转换真值表: 状态转换真值表的作法是: 从第一个现态“000”开始,代入状态方程,得次态为“001”,代入输出方程,得输出为“0”。

时序逻辑电路

课程名称:数字逻辑电路设计实践实验名称:组合逻辑电路设计

时序逻辑电路 1、 实验目的 1. 掌握时序逻辑电路的一般设计过程; 2. 掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3. 掌握时序逻辑电路的基本调试方法; 4. 熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 2、 实验原理 详见书103~147 3、 实验内容 1. 广告流水灯 a. 实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED 组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 1 写出设计过程,画出设计的逻辑电路图,按图搭接电路。 1)状态转换图: 现态 次态 Q2(n) Q1(n) Q0(n) Q2(n+1) Q1(n+1) Q0(n+1) 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 0 1 1 1 0 0 1 0 0 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 2)建立卡诺图: 001 010 100 011 101 110 000 111 1!1 210n n n Q Q Q +++ 有上表得: Q 0n 1=Q 0 n 0 1 00 01 11 10 2 n Q 10n n Q Q

Q 1n 1=Q 0n ⊕Q 1 n Q 2n 1=Q 0n Q 1n ⊕Q 2n =Q 0n Q 1n ⊕Q 2 n 因此,需要三个D 触发器来实现时序电路,三个D 触发器分别对应Q0、Q1、Q2 通过一片74LS138 3-8线译码器将Q2Q1Q0所对应的二进制码输出转化为相应的0~7号LED 灯的输入电平。 2 将单脉冲加到系统时钟端,静态验证实验电路。 3 将TTL 连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉 冲CLK 、触发器的输出端Q2、Q1、Q0和8个LED 上的波形。 b . 实验数据 ① 设计电路。 U1A 74ALS74AN 1D 2 1Q 5 ~1Q 6 ~1CLR 1 1CLK 3 ~1PR 4U2A 74ALS74AN 1D 2 1Q 5 ~1Q 6 ~1CLR 1 1CLK 3 ~1PR 4 U3B 74ALS74AN 1D 2 1Q 5 ~1Q 6 ~1CLR 1 1CLK 3 ~1PR 4U4A 74ALS86N U5B 74ALS86N U6A 74LS04N U7A 74LS00N VCC 5V 1 45 78U9 74LS138N Y015Y114Y213Y312Y411Y510Y69Y7 7 A 1 B 2 C 3G16~G2A 4~G2B 5 6 23 VCC VCC 5V VCC LED ② 静态验证 (自拟表格) 将3-8译码器的15Y ~0Y 输出端,从左到右依次接测试箱上的8个LED 灯80~L L ,3个D 触发器共同接箱上经消抖处理的当脉冲信号(上升沿触发)。依次按动单脉冲按钮,得以下结果。见表1. 表1.广告流水灯静态验证结果 次序 L8 L7 L6 L5 L4 L3 L2 L1 1 暗 亮 亮 亮 亮 亮 亮 亮 2 亮 暗 亮 亮 亮 亮 亮 亮 3 亮 亮 暗 亮 亮 亮 亮 亮 4 亮 亮 亮 暗 亮 亮 亮 亮 5 亮 亮 亮 亮 暗 亮 亮 亮 6 亮 亮 亮 亮 亮 暗 亮 亮

最新数字电子技术基础电子教案——第5章时序逻辑电路.docx

第 5 章时序逻辑电路 5.1时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存 储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分 组成。 图 5.1时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路 要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的 输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 ( 1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同 步时序电路较复杂,其速度高于异步时序电路。 ( 2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出 Z 既与触发器的状态 Q n有关,又与外部输入 X 有

关。而摩尔型电路的外部输出Z 仅与触发器的状态Q n有关,而与外部输入X 无关。 ( 3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、 状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且 都是分析和设计时序电路的基本工具。 5.2时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。 (2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输 出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图 5.10 所示。 图 5.10同步时序电路的设计过程

时序逻辑电路设计

时序逻辑电路的设计 一、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1片74LS90和1片与非门设计一个5进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2.实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000,置0; (3)计数脉冲由CP0端输入,输出由Q0端引出,即得二进制计数器; (4)计数脉冲由CP1端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器;(5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2:判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表; Step 3:根据状态转换表,分离出各触发器输出量Q 0~Q m (m=1、2、3…)、输出 变量Y的卡诺图并化简,得到各个触发器的状态方程;

第八章时序逻辑电路学习资料

第八章时序逻辑电路

第八章时序逻辑电路 第一节寄存器 一、单项选择题 1.N个触发器可以构成能寄存位二进制数码的寄存器。() A.N-1 B.N C.N+1 D.2N 2.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 3.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 4.有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是() A.1011-0110-1100-1000-0000 B.1011-0101-0010-0001-0000 C.1011-1100-1101-1110-1111 D.1011-1010-1001-1000-0111 5.由三级触发器构成环形计数器的计数摸值为( ) A.8 B.6 C.3 D.16 6.如图8-7所示电路的功能为()A.并行输入寄存器 B.移位寄存器 C.计数器 D.序列信号发生器 7.由四位移位寄存器构成的顺序脉冲发生器可产生个顺序脉冲。() A.2 B.4 C.8 D.16 8.现欲将一个数据串延时4个CP的时间,则最简单的办法采用() A.4位并行寄存器 B.4位移位寄存器 C.4进制计数器 D.4位加法器 二、判断题 1.时序电路中不含有记忆功能的器件。( ) 2.移位寄存器74LS194可串行输入并行输出,但不能串行输入串行输出。() 仅供学习与交流,如有侵权请联系网站删除谢谢2

3.时序逻辑电路在某一时刻的输出状态与该时刻之前的输入信号无关。( ) 4.时序电路一定不要组合电路。() 三、多项选择题 1.寄存器按照功能不同可分为() A.数据寄存器 B.移位寄存器 C.暂存器 D.计数器 2.数码寄存器的特点是() A.存储时间短 B.速度快 C.可做高速缓冲器 D.一旦停电后存储数码全部消失 3.移位寄存器按移位方式可分为() A.左移移位寄存器 B.右移移位寄存器 C.双向移位寄存器 D.集成移位寄存器 第二节计数器 一、填空题1.触发器有个稳定状态,它可以记录位二进制码,存储8位二进制信息需要个触发器。 2.按进位体制的不同,计数器可分为计数器和计数器等;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。 3.要构成五进制计数器,至少需要个触发器。 4.设集成十进制(默认为8421码)加法计数器的初态为Q3Q2Q1Q0=1001,则经过5个CP 脉冲以后计数器的状态为 . 5.在各种寄存器中,存放N位二进制数码需要个触发器。 二、单项选择题 1.按各触发器的CP所决定的状态转换区分,计数器可分为计数器。() A.加法、减法和可逆 B.同步和异步 C.二、十和N进制 D.以上均不正确 2.将一个D触发器处于技术状态时,下列做法正确的是() A.D端接固定高电平 B.D端悬空 C.D端与Q端相联 D.D与Q非端相联 仅供学习与交流,如有侵权请联系网站删除谢谢3

时序逻辑电路设计

时序逻辑电路的设计 、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1 片74LS90和1 片与非门设计一个5 进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2. 实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“ 1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“ 1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000置, 0; (3)计数脉冲由CP0端输入,输出由Q0 端引出,即得二进制计数器; (4)计数脉冲由CP1 端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器; (5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2 :判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表;Step 3 :根据状态转换表,分离出各触发器输出量Q0~Q m(m=1、2、3, )、输出变量Y 的卡诺图并化简,得到各个触发器的状态方程;

时序逻辑电路

第6章时序逻辑电路 6.1概述 实际上时序逻辑电路是组合逻辑电路和触发器的综合。 在时序逻辑电路中,根据构成存储电路的各个触发器时钟信号引入方式不同可分为同步时序逻辑电路和异步时序逻辑电路。在同步时序逻辑电路中,存储电路中的各存储单元(触发器)共用同一时钟信号,并在同一时刻进行各自状态的转移。在异步时序逻辑电路中,触发器的时钟端并不是来自同一时钟信号。 按输出信号特点的不同,还可以将时序电路分为Mealy型和Moore型。如果输出信号不仅取决于存储电路的状态,而且还与输入变量有关,这种时序电路称为Mealy型;如果输出信号仅仅取决于存储电路的状态,称为Moore型。 6.2同步时序逻辑电路分析 时序逻辑电路的分析,就是根据时序逻辑电路,得出该电路在时钟信号及输入信号的作用下,存储电路的状态转换关系及电路的输出结果,概况该电路的逻辑功能。分析时序逻辑电路一般采用存储电路的状态转移方程,输出逻辑函数表达式,状态转换表及电压波形图等方法。 6.2.1同步时序逻辑电路的分析方法 分析过程的具体步骤为: (1)根据逻辑电路写出各触发器单的驱动方程,即写出每个触发器输入端的逻辑函数表达式。 (2)将得到的驱动方程代入触发器特性方程标准形式中,得到时钟脉冲作用下的状态方程。 (3)从逻辑电路中写出输出端的逻辑函数表达式。 (4)将任何一组输入变量的取值及电路的初始状态代入状态转移方程和输出函数表达式中,得到时钟信号作用下的存储电路的次态逻辑值;再以得到的次态逻辑值为初始状态,和此时的输入变量的取值一起,再次代入状态转移方程中和输出函数表达式中,得到新的次态逻辑值以及电路的输出值,如此循环代入逻辑值,直到输入变量所有的取值和所有逻辑状态值全部代入。将存储电路的状态转换以及电路的输出用表格的形式来描述它们之间的关系,称为状态转换表。将存储电路状态之间的转换关系用图形的方式来描述,就是状态转换图。 (5)检查状态转换图,如果在时钟信号和输入信号的作用下,各个状态之间能够建立联系,则说明该时序逻辑电路能够自启动,否则不能自启动。 (6)根据状态转换表来画触发器状态端和输出端的时序图。 (7)逻辑功能概述。 6.2.2同步时序逻辑电路的分析举例 若JK触发器由TTL门电路组成,结合TTL逻辑门的内部结构可知,在输入端悬空的情况下可视为高电平输入。 在做状态转换表时,可以先假设初始状态为Q n3Q n2Q n1=000。 6.3同步时序逻辑电路的设计 同步时序逻辑电路的设计,就是根据逻辑问题的具体要求,结合同步时序逻辑电路的特点,设计出能够实现该逻辑功能的最简同步时序电路。 同步时序逻辑电路中含有组合逻辑电路部分和存储电路部分。存储电路部分主要用到的是触发器。 6.4.1设计方法

相关文档
最新文档