TC2263(电流模式PWM控制IC)

TC2263(电流模式PWM控制IC)
TC2263(电流模式PWM控制IC)

TC2263(文件编号:S&CIC0882) 电流模式PWM 控制器

一、 概述

TC2263是一款高性能、高集成度、低功耗及低成本离线式电流模式PWM 控制器芯片,专门为低于30W 的应用进行了特别优化 。

TC2263采用了低启动电流和低工作电流设计。在启动电路中使用了较大的启动电阻以得到较小的启动电流,有效减小了系统的静态功耗,缩短系统的启动时间。低工作电流可有效降低系统的损耗,提高系统的效率。在空载或者轻载时,IC 进入间歇模式降低开关频率,减少开关损耗,使得系统有较低的静态功耗和较高的转换效率。内置频率抖动设计可以有效的改善系统EMI 特性。

TC2263 内置斜坡补偿提高了系统大占空比输出时的稳定性。电流检测输入端内置前沿消隐电路,增加了系统的抗干扰能力,减少了外围器件数量并降低了系统成本。

二、 特点

低功耗、无噪声 启动电流低至3uA 工作电流约1.4mA 较少的外围器件

过载保护

限流保护、欠压锁定 内置前沿消隐 内置频率抖动

三、 应用范围

充电器、适配器 开关电源

TC2263(文件编号:S&CIC0882)电流模式PWM控制器

七、 最大额定值

参数额定值

VDD DC电源电压30V

VDD钳位电压34V

VDD DC 钳位电流10mA

V FB输入电压-0.3-7V

V SENSE输入电压-0.3-7V

V RI输入电压-0.3-7V

工作结温 -20℃-150℃

贮存温度 -55℃-160℃

注:最大允许额定值是指超过这些值可能会损坏器件,在这些条件式之下是不利于的器件工作的。器件连续工作在最大允许额定值下可能影响器件可靠性。所有的电压均是相对于器件GND的电压差。

八、 电气特性(参数都是在T

A

= 25℃的工作条件下测得,有另有注明的除外)

符号参数测试条件最小值典型值最大值单位

电源电压(VDD)

I_VDD_Startup VDD启动电流V DD=12.5V,R I=100K 3 20

uA I_VDD_Ops 芯片工作电流V DD=16V,R I=100K,V FB=3V 1.4 mA UVLO(ON) 进入欠压保护7.8 8.8 9.8 V UVLO(OFF) 退出欠压保护13 14 15 V VDD_Clamp VDD 钳位电压I VDD=10mA 34 V 反馈输入 (FB Pin)

A VCS PWM 输入增益△V FB/△V CS 2.0 V/V V FB_Open FB开路电压 4.8 V I FB_Short F

B pin短路电流 0.8 mA

V TH_0D PWM 0占空比时FB

门限电压VDD=16V, RI=100Kohm

0.75

V

V TH_PL 过载保护FB 门限电

3.7 V T D_PL 过载保护延时 35 mSec

Z FB_IN FB输入阻抗 6

Kohm

DC_MAX 最大占空比V DD=18V, R I=100Kohm,

F B=3V, CS=0

75 % 电流检测输入 (Sense Pin)

T_blanking 前沿消隐时间RI=100Kohm 300 ns Z SENSE_IN CS输入阻抗 40

Kohm

T D_OC 过流检测延时VDD=16V, CS>VTH_OC,

FB=3.3V

75 nSec

VTH_OC PWM零占空比时过

流门限电压FB=3.3V, RI=100Kohm

0.70 0.75 0.80 V

振荡频率

Fosc 正常振荡频率RI=100Kohm 60 65 70 KHz

△f_Temp 频率温度稳定度VDD = 16V, RI=100Kohm,

T A -20℃ to 100℃ 5 % Δf_VDD频率电压稳定VDD = 12-25V, RI=100Kohm 5 %

TC2263(文件编号:S&CIC0882)电流模式PWM控制器

RI_range RI电阻设置范围50 100 150

Kohm

V_RI_open RI 开路电压 2 V F osc_BM 间歇模式频率VDD = 16V, RI = 100Kohm 22 KHz

驱动开关管输出

VOL GATE输出低电平VDD = 16V, Io = -20 mA0.8

V VOH GATE输出高电平VDD = 16V, Io = 20 mA10 V V_Clamp GATE输出钳位电

18 V

T_r GATE输出上升沿

时间VDD = 16V, CL = 1nf

220 nSec

T_f GATE输出下降沿

时间VDD = 16V, CL = 1nf

70 nSec

频率抖动

Δf_OSC 频率调制范围/基频RI=100K

-3 3 % f_shuffling 抖动频率RI=100K 64 Hz

九、 特性(典型参数)

(VDD = 16V, RI = 100 Kohm, T A = 25o C 除非另有注明。)

TC2263(文件编号:S&CIC0882)电流模式PWM控制器

TC2263(文件编号:S&CIC0882)电流模式PWM控制器十、 封装尺寸

DIP-8

毫米英寸

符号

最小值最大值最小值最大值

A 3.710 4.310 0.146 0.170

A1 0.510 0.020

A2 3.200 3.600 0.126 0.142

B 0.360 0.560 0.014 0.022

B1 1.524(典型值) 0.060(典型值)

C 0.204 0.360 0.008 0.014

D 9.000 9.400 0.354 0.370

E 6.200 6.600 0.244 0.260

E1 7.620(典型值) 0.300(典型值)

e 2.540(典型值) 0.100(典型值)

L 3.000 3.600 0.118 0.142

E2 8.200 9.400 0.323 0.370

TC2263(文件编号:S&CIC0882)电流模式PWM控制器SOP-8

TC2263(文件编号:S&CIC0882)电流模式PWM控制器SOT-26

毫米英寸

符号

最小值最大值最小值最大值

A 1.050 1.250 0.041 0.049

A1 0.000 0.100 0.000 0.004

A2 1.050 1.150 0.041 0.045

b 0.300 0.400 0.012 0.016

c 0.100 0.200 0.004 0.008

D 2.820 3.020 0.111 0.119

E 1.500 1.700 0.059 0.067

E1 2.650 2.950 0.104 0.116

e 0.950(典型值) 0.037(典型值)

e1 1.800 2.000 0.071 0.079

L 0.700 0.028

L1 0.300 0.600 0.012 0.024

θ0°8°0°8°

PWM控制电路的基本构成及工作原理

基于DSP的三相SPWM变频电源的设计 变频电源作为电源系统的重要组成部分,其性能的优劣直接关系到整个系统的安全和可靠性指标。现代变频电源以低功耗、高效率、电路简洁等显著优点而备受青睐。变频电源的整个电路由交流-直流-交流-滤波等部分构成,输出电压和电流波形均为纯正的正弦波,且频率和幅度在一定范围内可调。 本文实现了基于TMS320F28335的变频电源数字控制系统的设计,通过有效利用TMS320F28335丰富的片上硬件资源,实现了SPWM的不规则采样,并采用PID算法使系统产生高品质的正弦波,具有运算速度快、精度高、灵活性好、 系统扩展能力强等优点。 系统总体介绍 根据结构不同,变频电源可分为直接变频电源与间接变频电源两大类。本文所研究的变频电源采用间接变频结构即交-直-交变换过程。首先通过单相全桥整流电路完成交-直变换,然后在DSP控制下把直流电源转换成三相SPWM波形供给后级滤波电路,形成标准的正弦波。变频系统控制器采用TI公司推出的业界首款浮点数字信号控制器TMS320F28 335,它具有150MHz高速处理能力,具备32位浮点处理单元,单指令周期32位累加运算,可满足应用对于更快代码开发与集成高级控制器的浮点处理器性能的要求。与上一代领先的数字信号处理器相比,最新的F2833x浮点控制器不仅可将性能平均提升50%,还具有精度更高、简化软件开发、兼容定点C28x TM控制器软件的特点。系统总体框图如 图1所示。 图1 系统总体框图 (1)整流滤波模块:对电网输入的交流电进行整流滤波,为变换器提供波纹较小的直流电压。 (2)三相桥式逆变器模块:把直流电压变换成交流电。其中功率级采用智能型IPM功率模块,具有电路简单、可 靠性高等特点。 (3)LC滤波模块:滤除干扰和无用信号,使输出信号为标准正弦波。 (4)控制电路模块:检测输出电压、电流信号后,按照一定的控制算法和控制策略产生SPWM控制信号,去控制IPM开关管的通断从而保持输出电压稳定,同时通过SPI接口完成对输入电压信号、电流信号的程控调理。捕获单元完 成对输出信号的测频。 (5)电压、电流检测模块:根据要求,需要实时检测线电压及相电流的变化,所以需要三路电压检测和三路电流检测电路。所有的检测信号都经过电压跟随器隔离后由TMS320F28335的A/D通道输入。

PWM控制原理要点

PWM控制技术 主要内容:PWM控制的基本原理、控制方式与PWM波形的生成方法,PWM逆变电路的谐波分析,PWM整流电路。 重点:PWM控制的基本原理、控制方式与PWM波形的生成方法。 难点:PWM波形的生成方法,PWM逆变电路的谐波分析。 基本要求:掌握PWM控制的基本原理、控制方式与PWM波形的生成方法,了解PWM 逆变电路的谐波分析,了解跟踪型PWM逆变电路,了解PWM整流电路。 PWM(Pulse Width Modulation)控制——脉冲宽度调制技术,通过对一系列脉冲的宽度进行调制,来等效地获得所需要波形(含形状和幅值)。第3、4章已涉及这方面内容: 第3章:直流斩波电路采用,第4章有两处:4.1节斩控式交流调压电路,4.4节矩阵式变频电路。 本章内容 PWM控制技术在逆变电路中应用最广,应用的逆变电路绝大部分是PWM型,PWM 控制技术正是有赖于在逆变电路中的应用,才确定了它在电力电子技术中的重要地位。 本章主要以逆变电路为控制对象来介绍PWM控制技术,也介绍PWM整流电路 1 PWM控制的基本原理 理论基础: 冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。冲量指窄脉冲的面积。效果基本相同,是指环节的输出响应波形基本相同。低频段非常接近,仅在高频段略有差异。 图6-1 形状不同而冲量相同的各种窄脉冲 面积等效原理: 分别将如图6-1所示的电压窄脉冲加在一阶惯性环节(R-L电路)上,如图6-2a所示。其输出电流i(t)对不同窄脉冲时的响应波形如图6-2b所示。从波形可以看出,在i(t)的上升段,i(t)的形状也略有不同,但其下降段则几乎完全相同。脉冲越窄,各i(t)响应波形的差异

基于FPGA的PWM控制器设计

FPGA实验报告

基于FPGA勺PWM控制器设计 1设计任务与要求 1.1掌握PWM fe术原理;了解PWM控制方法及应用;完成基于FPGA勺PWM控制器设计。 1.2通过课程设计的实践,进一步理解和掌握硬件描述语言(VHDL或VerilOg )和TOP-DOWN设计流程,提高对实际项目的分析和设计能力,体会FPGA项目的过程,熟悉实验报告的编写规范。 2设计原理分析 2.1利用FPGA语言编写程序实现对50MHZ勺硬件晶振进行分频和调节占空比。对硬件晶振的上升沿就行计数,当2nHZ频率利用高低电平进行分频时,当计数到n-1是对原电平进行反向就可以实现分频。占空比是对上升沿的计数是两个不同的数值时进行反向。 2.2脉宽调制(PWM基本原理:控制方式就是对逆变电路开关器件的通断进行控制,使输出端得到一系列幅值相等的脉冲,用这些脉冲来代替正弦波或所需要的波形。也就是在输出波形的半个周期中产生多个脉冲,使各脉冲的等值电压为正弦波形,所获得的输出平滑且低次谐波少。按一定的规则对各脉冲的宽度进行调制,即可改变逆变电路输出电压的大小,也可改变输出频率。 例如,把正弦半波波形分成N等份,就可把正弦半波看成由N个彼此相连的脉冲所组成的波形。这些脉冲宽度相等,都等于∏∕n ,但幅值不等,且脉冲顶 部不是水平直线,而是曲线,各脉冲的幅值按正弦规律变化。如果把上述脉冲序列用同样数量的等幅而不等宽的矩形脉冲序列代替,使矩形脉冲的中点和相应正弦等分的中点重合,且使矩形脉冲和相应正弦部分面积(即冲量)相等,就得到一组脉冲序列,这就是PWM fe形。可以看出,各脉冲宽度是按正弦规律变化的。根据冲量相等效果相同的原理,PWM波形和正弦半波是等效的。对于正弦的负半周,也可以用同样的方法得到PWM波形。 在PWM波形中,各脉冲的幅值是相等的,要改变等效输出正弦波的幅值时,只要按同一比例系数改变各脉冲的宽度即可,因此在交一直一交变频器中,PWM 逆变电路输出的脉冲电压就是直流侧电压的幅值。

PWM控制电路设计

PWM控制电路设计 CYBERNET 应用系统事业部 LED照明作为新一代照明受到了广泛的关注。仅仅依靠LED封装并不能制作出好的照明灯具。本文主要从电子电路、热分析、光学方面阐述了如何运用LED特性进行设计。 在上一期的“LED驱动电路设计-基础篇”中,介绍了LED的电子特性和基本的驱动电路。遗憾的是,阻抗型驱动电路和恒电流源型驱动电路,大围输入电压和大电流中性能并不强,有时并不能发挥出LED的性能。相反,用脉冲调制方法驱动LED电路,能够发挥LED的多个优点。这次主要针对运用脉冲调制的驱动电路进行说明。 PWM是什么? 脉冲调制英文表示是Pulse Width Modulation,简称PWM。PWM是调节脉冲波占空比的一种方式。如图1所示,脉冲的占空比可以用脉冲周期、On-time、Off-time表示,如下公式:占空比=On-time(脉冲的High时间)/ 脉冲的一个周期(On-time + Off-time) Tsw(一周期)可以是开关周期,也可以是Fsw=1/Tsw的开关频率。

图1 Pulse Width Modulation (PWM) 在运用PWM的驱动电路中,可以通过增减占空比,控制脉冲一个周期的平均值。运用该原理,如果能控制电路上的开关设计(半导体管、MOSFET、IGBT等)的打开时间(关闭时间),就能够调节LED电流的效率。这就是接下来要介绍的PWM控制。PWM信号的应用 PWM控制电路的一个特征是只要改变脉冲幅度就能控制各种输出。图2的降压电路帮助理解PWM的控制原理。在这个电路中,将24V的输入电压转换成12V,需要增加负载。负载就是单纯的阻抗。电压转换电路的方法有很多,运用PWM信号的效果如何呢?

pwm芯片

电流方式PWM 控制器 TL2842P:电流模式PWM控制器 UC2525ADW:可调PWM控制器 UC2842AN:电流方式PWM控制器 UC3842N:电流方式PWM控制器 UC3843AD:电流方式PWM控制器 UC3844AD:电流方式PWM控制器 UC3845AD:电流方式PWM控制器 UC3875N:电流方式PWM控制器 UCC28083PW:电流方式PWM控制器 UCC28084PW:电流方式PWM控制器 UCC28085PW:电流方式PWM控制器 UCC28086PW:电流方式PWM控制器 UCC28220D:可调PWM控制器 UCC28221D:电流方式PWM控制器 UCC38084PW:电流方式PWM控制器 UCC38085PW:电流方式PWM控制器 UCC38086PW:电流方式PWM控制器 UCC38C40DGK:BICMOS电流模式PWM UCC38C40P:BICMOS电流模式PWM UCC38C41D:BICMOS电流模式PWM UCC38C41P:BICMOS电流模式PWM UCC38C42D:BICMOS电流模式PWM UCC38C43D:BICMOS电流模式PWM UCC38C44D:BICMOS电流模式PWM UCC38C45D:BICMOS电流模式PWM 电压方式PWM控制器 SG2524D:可调PWM控制器 TL1454ACN:双通道PWM控制器 TL494CN:PWM控制器 TL5001ACD:电压模式PWM控制器 UCC2580D-4:单端PWM控制器 UCC35705D:电压方式PWM控制器 PWM控制器 CS2841BEBN8G:线性高性能电流模式控制器效率96% CS51021AED16:增强电流模PWM控制器 CS51022AED16:增强电流模PWM控制器 CS51220ED16:可编程同步电压模式PWM控制器 CS51221ED16:增强电压模式PWM控制器 CS5124XD8:高性能电流模式PWM控制器

PWM控制电路的基本构成及工作原理

PWM控制电路的基本构成及工作原理 于开关器件的高频通断和输出整流二极管反向恢复。很强的电磁骚扰信号通过空间辐射和电源线的传导而干扰邻近的敏感设备。除了功率开关管和高频整流二极管外,产生辐射干扰的主要元器件还有脉冲变压器及滤波电感等。 虽然,功率开关管的快速通断给开关电源带来了更高的效益,但是,也带来了更强的高频辐射。要降低辐射干扰,可应用电压缓冲电路,如在开关管两端并联RCD缓冲电路,或电流缓冲电路,如在开关管的集电极上串联 20~80μH的电感。电感在功率开关管导通时能避免集电极电流突然增大,同时也可以减少整流电路中冲击电流的影响。 功率开关管的集电极是一个强干扰源,开关管的散热片应接到开关管的发射极上,以确保集电极与散热片之间由于分布电容而产生的电流流入主电路中。为减少散热片和机壳的分布电容,散热片应尽量远离机壳,如有条件的话,可采用有屏蔽措施的开关管散热片。 整流二极管应采用恢复电荷小,且反向恢复时间短的,如肖特基管,最好是选用反向恢复呈软特性的。另外在肖特基管两端套磁珠和并联RC吸收网络均可减少干扰,电阻、电容的取值可为几Ω和数千pF,电容引线应尽可能短,以减少引线电感。实际使用中一般采用具有软恢复特性的整流二极管,并在二极管两端并接小电容来消除电路的寄生振荡。 负载电流越大,续流结束时流经整流二极管的电流也越大,二极管反向恢复的时间也越长,则尖峰电流的影响也越大。采用多个整流二极管并联来分担负载电流,可以降低短路尖峰电流的影响。 开关电源必须屏蔽,采用模块式全密封结构,建议用1mm以上厚度的 镀锌钢板,屏蔽层必须良好接地。在高频脉冲变压器初、次级之间加一屏蔽层

PWM驱动电路

PWM是什么? 脉冲调制英文表示是Pulse Width Modulation,简称PWM。PWM是调节脉冲波占空比的一种方式。如图1所示,脉冲的占空比可以用脉冲周期、On-time、Off-time 表示,如下公式: 占空比=On-time(脉冲的High时间)/ 脉冲的一个周期(On-time + Off-time) Tsw(一周期)可以是开关周期,也可以是Fsw=1/Tsw的开关频率。 图1 Pulse Width Modulation (PWM) 在运用PWM的驱动电路中,可以通过增减占空比,控制脉冲一个周期的平均值。运用该原理,如果能控制电路上的开关设计(半导体管、MOSFET、IGBT等)的打开时间(关闭时间),就能够调节LED电流的效率。这就是接下来要介绍的PWM控制。PWM信号的应用 PWM控制电路的一个特征是只要改变脉冲幅度就能控制各种输出。图2的降压电路帮助理解PWM的控制原理。在这个电路中,将24V的输入电压转换成12V,需要增加负载。负载就是单纯的阻抗。电压转换电路的方法有很多,运用PWM信号的效果如何呢?

图2 降压电路 在图2的降压电路中取PWM控制电路,如图3所示。MOSFEL作为开关设计使用。当PWM信号的转换频率数为20kHz时,转换周期为50μs。PWM信号为High的时候,开关为On,电流从输入端流经负载。当PWM信号处于Low状态时,开关Off,没有输入和输出,电流也断掉。 这里尝试将PWM信号的占空比固定在50%,施加在开关中。 开关开着的时候电流和电压施加到负载上。开关关着的时候因为没有电流,所以负载的供给电压为零。如图4绿色的波形、V(OUT)可在负载中看到输出电压。 图3 运用PWM信号的降压电路

pwm芯片介绍

EG3525芯片1.特点 ? ? 工作电压范围宽:+8V ~+35V 内置5.1V基准电压,精度±1% ? ? ? ? ? ? ? ? 振荡频率范围宽:100Hz~500KHz 具有振荡器外部同步功能 死区时间可调 内置软启动电路 具有输入欠压锁定功能 具有PWM锁存功能,禁止多脉冲 双通道灌电流、拉电流驱动能力 封装形式:SOP-16和DIP-16 2.描述 EG3525芯片内置了5.1V基准电压源、100Hz~500KHz宽频率振荡器、软启动电路、误差放大器、PWM 比较器、欠压封锁电路及功率管输出驱动电路等。EG3525 具有同步时钟功能,可以工作在主从模式,也可以与外部系统时钟信号同步,为设计提供了极大的灵活性。在C T引脚和Discharge引脚之间加入一个电阻就可以实现对死区时间的调节功能。 EG3525内部集成了软启动电路,只需在引脚8外接一个定时电容能实现PWM软启动功能。在上电过程中,软启动定时电容的电压由内部50uA恒流源进行充电,其电容两端的电压开始从零慢慢上升到Vref,同时PWM输出也从零慢慢增加到稳压所需的最大占空比,当定时电容两端的电压上升到Vref时,软启动过程结束。 在故障电路控制Shutdown关断信号时,该关断信号对输出级及软启动电路都起作用。当Shutdown(引脚10)上的信号为高电平时,禁止EG3525的输出,同时软启动电容将开始放电。如果该高电平持续,软启动电容将充分放电,直到关断信号结束,才重新进入软启动过程。Shutdown 引脚不能悬空,应通过接地电阻可靠接地,以防止外部干扰信号耦合而影响EG3525的正常工作。 ? ? ? 逆变器电源? ? ? UPS 电源 大功率高频开关电源 直流脉宽调速系统 DC/DC 直流变换器 大功率充电器

DC-DC变换器的PWM控制技术

DC/DC变换器的PWM控制技术 内容:DC/DC变换器广泛应用于便携装置(如笔记本计算机、蜂窝电话、寻呼机、PDA等)中。它有两种类型,即线性变换器和开关变换器。开关变换器因具有效率高、灵活的正负极性和升降压方式的特点,而备受人们的青睐。 开关稳压器利用无源磁性元件和电容电路元件的能量存储特性,从输入电压源获取分离的能量,暂时地把能量以磁场形式存储在电感器中,或以电场形式存储在电容器中,然后将能量转换到负载,实现DC/DC 变换。 实现能量从源到负载的变换需要复杂的控制技术。现在,大多数采用PWM(脉冲宽度调制)技术。从输入电源提取的能量随脉宽变化,在一固定周期内保持平均能量转换。PWM的占空因数(δ)是“on”时间(ton,从电源提取能量的时间)与总开关周期(T)之比。对于开关稳压器,其稳定的输出电压正比于PWM占空因数,而且控制环路利用“大信号”占空因数做为对电源开关的控制信号。 开关频率和储能元件 DC/DC变换器中,功率开关和储能元件的物理尺寸直接受工作频率影响。磁性元件所耦合的功率是:P (L)=1/2(LI2f)。随着频率的提高,为保持恒定的功率所要求的电感相应地减小。由于电感与磁性材料的面积和线匝数有关,所以可以减小电感器的物理尺寸。 电容元件所耦合的功率是:P(c)=1/2(CV2f),所以储能电容器可实现类似的尺寸减小。元件尺寸的减小对于电源设计人员和系统设计人员来说都是非常重要的,可使得开关电源占用较小的体积和印刷电路板面积。 开关变换器拓扑结构 开关变换器的拓扑结构系指能用于转换、控制和调节输入电压的功率开关元件和储能元件的不同配置。很多不同的开关稳压器拓扑结构可分为两种基本类型:非隔离型(在工作期间输入源和输出负载共用一个共同的电流通路)和隔离型(能量转换是用一个相互耦合磁性元件(变压器)来实现的,而且从源到负载的耦合是借助于磁通而不是共同的电器)。变换器拓扑结构是根据系统造价、性能指标和输入线/输出负载特性诸因素选定的。 非隔离开关变换器 有四种基本非隔离开关稳压器拓扑结构用于DC/DC变换器。 1. 降压变换器 降压变换器将一输入电压变换成一较低的稳定输出电压。输出电压(V out)和输入电压(Vin)的关系为:V out/Vin=δ(占空因数)Vin>V out 2. 升压变换器 升压变换器将一输入电压变换成一较高的稳定输出电压。输出电压和输入电压的关系为: V out/Vin=1/(1-δ)Vin 3. 逆向变换器 逆向变换器将一输入电压变换成一较低反相输出电压。输出电压与输入电压的关系为: V out/Vin=-δ/(1-δ)Vin>|V out| 4.Cuk变换器 Cuk(“丘克”)变换器将一输入电压变换成一稳定反相较低值或较高值输出电压(电压值取决于占空因数)。输出电压输入电压的关系为:

脉宽调制(PWM)集成电路SG3525原理及应用

麻省理工大学 集成电路应用课程论文 论文题目:脉宽调制(PWM)集成电路SG3525 原理及应用 学院、系:电信学院电气系 专业班级:电气11 学生姓名:葉晓龍 任课教师:*** 2014 年 6 月8日

脉宽调制(PWM)集成电路SG3525的工作原理及应用 摘要:随着电能变换技术的发展,功率MOSFET在开关变换器中开始广泛使用,为此美国硅通用半导体公司(Silicon General)推出SG3525。SG3525是用于驱动N沟道功率MOSFET。其产品一推出就受到广泛好评。SG3525系列PWM控制器分军品、工业品、民品三个等级。下面就SG3525的工作原理、管脚排列、主要特点以及应用领域等进行介绍。 关键词:PWM控制器MOSFET SG3525 开关变换器 一、概述 SG3525是电流控制型PWM控制器,所谓电流控制型脉宽调制器是按照接反馈电流来调节脉宽的。在脉宽比较器的输入端直接用流过输出电感线圈的信号与误差放大器输出信号进行比较,从而调节占空比使输出的电感峰值电流跟随误差电压变化而变化。由于结构上有电压环和电流环双环系统,因此,无论开关电源的电压调整率、负载调整率和瞬态响应特性都有提高,是目前比较理想的新型控制器。 二、管教排列及定义 SG3525芯片引脚排列如下图所示: 引脚的功能及含义如下: 引脚1:误差放大器反向输入端。在闭环系统中,该引脚接反馈信号。在开环系统中,该端与补偿信号输入端(引脚9)相连,可构成跟随器。 引脚2:误差放大器同向输入端。在闭环系统和开环系统中,该端接给定信

号。根据需要,在该端与补偿信号输入端(引脚9)之间接入不同类型的反馈网络,可以构成比例、比例积分和积分等类型的调节器。 引脚3:振荡器外接同步信号输入端。该端接外部同步脉冲信号可实现与外电路同步。 引脚4:振荡器输出端。 引脚5:振荡器定时电容接入端。 引脚6:振荡器定时电阻接入端。 引脚7:振荡器放电端。该端与引脚5之间外接一只放电电阻,构成放电回路。 引脚8:软启动电容接入端。该端通常接一只5 的软启动电容。 引脚9:PWM比较器补偿信号输入端。在该端与引脚2之间接入不同类型的反馈网络,可以构成比例、比例积分和积分等类型调节器。 引脚10:外部关断信号输入端。该端接高电平时控制器输出被禁止。该端可与保护电路相连,以实现故障保护。 引脚11:输出端A。引脚11和引脚14是两路互补输出端。 引脚12:信号地。 引脚13:输出级偏置电压接入端。 引脚14:输出端B。引脚14和引脚11是两路互补输出端。 引脚15:偏置电源接入端。 引脚16:基准电源输出端。该端可输出一温度稳定性极好的基准电压。 三、主要特点及应用领域 主要特点 (1)外围电路简单,使用方便 (2)保护功能齐全 (3)软启动特性 (4)死区可调 应用领域 (1)开关电源电路 (2)随动系统直流电机调速电路

PWM控制芯片SG原理及应用

PWM控制芯片SG3525原理及应用 第一章引言 脉冲宽度调制(PWM),是英文“Pulse Width Modulation”的缩写,简称脉宽调制,脉冲宽度调制是一种模拟控制方式,根据相应载荷的变化来调制晶体管栅极或基极的偏置,实现开关稳压电源输出晶体管或晶体管导通时间的改变,这种方式能使电源的输出电压在工作条件变化时保持恒定,PWM控制技术以其控制简单,灵活和动态响应好的优点而成为电力电子技术最广泛应用的控制方式,也是人们研究的热点.本文介绍的SG3525芯片主要应用于华为ONU4820,艾默生HD4825-3 HD4830-3 . 第二章PWM控制芯片SG3525功能简介 随着电能变换技术的发展,功率MOSFET在开关变换器中开始广泛使用,为此美国硅通用半导体公司(Silicon General)推出SG3525。SG3525是用于驱动N沟道功率M OSFET。下面我们对SG3525特点、引脚功能、电气参数、工作原理以及典型应用进行介绍。 SG3525是电流控制型PWM控制器,所谓电流控制型脉宽调制器是按照反馈电流调节脉宽。在脉宽比较器的输入端直接用流过输出电感线圈的信号与误差放大器输出信号进行比较,从而调节占空比使输出的电感峰值电流跟随误差电压变化而变化。由于结构上有电压环和电流环双环系统,因此,无论开关电源的电压调整率、负载调整率和瞬态响应特性都有提高,是目前比较理想的新型控制器。 一、SG3525引脚功能及特点简介 SG3525功能框图如图1所示: 图1 典型功能框图 1.(脚1):误差放大器反向输入端。在闭环系统中,该引脚接反馈信号。在开环系统中,该端与补偿信号输入端(脚9)相连,可构成跟随器。 2.(脚2):误差放大器同向输入端。在闭环系统和开环系统中,该端接给定信号。根据需要,在该端与补偿信号输入端(脚9)之间接入不同类型的反馈网络,可以构成比例、比例积分和积分等类型的调节器。 3.Sync(脚3):振荡器外接同步信号输入端。该端接外部同步脉冲信号可实现与外电路同步。

PWM控制芯片认识及外围电路设计实验汇编

实验三十五 PWM 控制芯片认识及外围电路设计实验 (电力电子学—自动控制理论综合实验) 一、 实验原理 1.PWM 控制 电力电子电路控制中广泛应用着脉冲宽度调制技术(Pulse Width Modulation, 简称PWM ),将宽度变化而频率不变的脉冲作为电力电子变换电路中功率开关管的驱动信号,控制开关管的通断,从而控制电力电子电路的输出电压以满足对电能变换的需要。由于开关频率不变,输出电压中的谐波频率固定,滤波器设计比较容易。 PWM 控制的原理可以简单通过图35-1理解。图中,V 1为变换器输出的反馈电压与一个三角波信号V tri 进行比较,比较电路产生的输出电压为固定幅值、宽度随反馈电压的增大而减小的PWM 脉冲方波,如图中阴影部分所示。若将该PWM 方波作为如图35-2所示的直流降压变换器的开关管的驱动信号,当输出电压升高时,输出电压方波宽度变窄,滤波后输出直流电压降低,达到稳定到某一恒定值的目的。 由PWM 控制的原理可知,实现PWM 控制应该具备以下条件: 图35-1 PWM 控制原理 V tri V 1 V 图35-2 直流-直流降压变换电路(Buck 电路) (1) 有三角波或阶梯波这样具有斜坡边的信号,作为调节宽度的调制基础信号;从 图35-1可以知道,三角波的频率就是使图35-2中开关管通断的开关频率。 (2) 有比较器以便将调制基础信号和反馈电压信号进行比较产生PWM 信号;

(3) 对反馈电压幅度的限制门槛电压,以使反馈电压不至于超过三角波最高幅值或 低于三角波最低值。一旦超出其最高值或低于最低值,2个信号没有交点,将出现失控情况; (4) 若同时需要控制多个开关管,尤其是桥式电路的上下桥臂上的一对开关管时, 应具有死区电路。死区即上下桥臂的两个开关管都没有开通脉冲、都不导通的时间,以便待刚关断的开关管经历恢复时间完全关断后,再让另一开关管开通; (5) 有反馈控制环节(即恒定的电压给定、误差放大器及调节器(或校正环节)、 功率放大电路); (6) 按照一定逻辑关系开放脉冲的逻辑控制电路。 按照上述原则,已经有很多集成的PWM 控制芯片面世,在芯片上集成了PWM 控制的许多环节,结合芯片的外围电路,具备了所有的PWM 控制功能。采用集成方式实现PWM 控制,具有很多优越性,不仅成本和体积上具有优势,而且在降低电磁干扰、降低设计难度上也有明显的优点。 本综合实验主要采用比较常用的PWM 集成芯片TL494,下面给出了有关它的介绍以及基本设计原则。其它常用的PWM 芯片如CW3524等,详见本实验附录,或自行查询相关资料,以便完成设计。 2.集成PWM 控制芯片TL494及外围电路介绍 TL494是美国德克萨斯公司研制的PWM 芯片,16端双列直插形式,具有两路输出(从T a 、T b 两个开关管输出)。它将PWM 控制所需要的功能,包括控制器(误差放大器等),都集成到一片芯片上,加上外围电路,组成了比较完善的PWM 控制器。图35-3是其电路功能方框图。其引脚说明及外围电路如下。 (1) 芯片电源 12端接输入工作电压,7端接地。工作电压由于电路的实际情况不同而在一定范围内变化。能工作于较宽的电源电压范围是PWM 控制芯片的一大特点,使它可以方便地应用于各种场合。 CC V 芯片内部还有一个稳压电源,将芯片12端输入的供电电源变换成稳定的5伏直流电压,供内部各电路用,也可供作为控制器(调节器)的标准给定电压,从14端引出。 (2) 输出方式控制端——13端: ① 若13端接地、V 13为低电位时,P = 0,D = 0,E = 0,G 1 = C = G 2,T a 、T b 两路输出相同,如图35-3中所示,即单路输出。若实验电路中只需要驱动一个开关管,则将13点接地用单路输出;若将两路并联可扩大输出容量。 ②若13端接+5V (可接芯片内的稳压直流5V 电源),V 13为高电位时,P = 1, C Q G +=1,C G +=2:

电机驱动芯片资料知识讲解

A4954 双路全桥式DMOS PWM 电动机驱动器 特点 ?低R DS(on)输出 ?过电流保护(OCP) 电动机短路保护 o o电动机引脚接地短路保护 o电动机引脚电池短路保护 ?低功耗待机模式 ?可调PWM 电流限制 ?同步整流 ?内部欠压锁定(UVLO) ?交叉电流保护 描述 通过脉宽调制(PWM) 控制两个直流电动机,A4954 能够承受峰值输出电流达±2 安培,并使电压达到40 伏特。 输入端通过应用外部PWM 控制信号以控制直流电动机的速度与方向。内部同步整流控制电路用来降低脉宽调制(PWM) 操作时的功率消耗。 内部电路保护包括过电流保护、电动机接地或电源短路、因滞后引起的过热关机、V BB欠压监视以及交叉电流保护。 A4954 采用带有外置散热板的16 引脚TSSOP 小型封装(后缀LP)。该封装为无铅封装,且引脚框采用100% 雾锡电镀。 ?功能方框图

A4950 全桥式DMOS PWM 电动机驱动器特点 ?低R DS(开)输出 ?过电流保护(OCP) o电动机短路保护 o电动机引脚接地短路保护 o电动机引脚电池短路保护 ?低功耗待机模式 ?可调PWM 电流限制 ?同步整流 ?内部欠压锁定(UVLO) ?交叉电流保护

描述 通过脉宽调制(PWM) 控制直流电动机,A4950 能够提供±3.5 安培的峰值输出电流,工作电压为40 伏特。 该产品可提供输入端子,通过外部施加的PWM 控制信号控制直流电动机的速度与方向。采用内部同步整流控制电路降低脉宽调制(PWM) 操作时的功率消耗。 内部电路保护包括过电流保护、电动机引脚接地短路或电源短路、带时延的过热关机、V BB欠压监视以及交叉电流保护。 A4950 采用带有外露散热板的8 引脚SOICN 小型封装(后缀LJ)。该封装为无铅封装,且引脚框采用100% 雾锡电镀。 ? 功能方框图 A4938 三相无刷直流电动机预驱动器 功能及优点 ?驱动6 N-通道MOSFET ?同步整流,减少功率耗散

PWM信号发生电路

1.P W M信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC 变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 基本原理是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM信号。 此方法优点是成本低、各环节波形和电压值可观测、易于扩展应用电路等。缺点是电路集成度低,不利于产品化。 2)单片机自动生成PWM信号 基本原理是由单片机内部集成PWM发生器模块在程序控制下产生PWM信号。 优点是电路简单、便于程序控制。缺点是不利于学生观测PWM产生过程,闭环控制复杂和使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM信号 基本原理是以复杂可编程逻辑器件(CPLD)或现场可编程门阵列器件(FPGA)为硬件基础,设计专用程序产生PWM信号。 优点是电路简单、PWM频率和占空比定量准确。缺点是闭环控制复杂,产生SPWM信号难度大。 4)专用芯片产生PWM信号 是生产厂家设计、生产的特定功能芯片。 优点是使用方便、安全,便于应用到产品设计中。缺点是不利于学生观测PWM产生过程和灵活调节各项参数。 2.电子元件构成PWM发生器电路 图1电子元件构成PWM发生器电路 3.集成芯片SG3525构成PWM发生器电路 一、PWM信号发生电路说明 实验电路中,驱动开关管的PWM信号由专用PWM控制集成芯片SG3525产生(美国

pwm控制原理

1.PWM的技术背景 随着CPU技术的发展,更多的晶体管和更高的主频,以及纳米级的工艺,都造成了CPU功率的飙升。尤其是第一个走进90纳米的Intel。更高的功率,就需要更好的散热设备。Intel为了对付prescott核心,开始从多方面加强散热,比如38度机箱比如BTX,比如 9CM风扇的主流应用,其中PWM技术,是最重要的技术之一。 Intel对散热器的评定标准非常严格,其最恶劣的环境条件在普通应用中很难出现。如果采用定转速风扇,在用户普通应用中,风扇的噪音根本让人无法忍受。传统的温控风扇是利用风扇轴承附近的测温探头侦测风扇的进风口温度,从而对风扇的转速进行调节。这种温控虽然解决了一定的问题,但是存在着精度粗糙,而且温控的转速只能做到高速低速两极变速。 PWM是脉宽调制电路的简称,它本身并不是一个新技术,在工业控制,单片机上早已经广泛的应用。而Intel将他和主板的CPU温度侦测相结合,将其应用于散热器风扇的转速精确控制上,取得了良好的效果。 2.PWM智能温控风扇的功能特点 首先,PWM风扇调节风扇转速是直接从CPU获取温度信息,在风扇上无任何测温装置。根据不同的CPU温度,温控风扇会有不同的转速调节与之对应,并且风扇的转速变化可以做到四级五级,甚至更多,基本上是无极变速的感觉。由于是脉宽信号的实时调节,风扇转速的变化非常灵敏,转速和CPU温度的变化几乎是同步的。 第二,PWM风扇在计算机待机的时候,可以保持在一个非常低的转速上。例如原包的Intel风扇,在待机时候,CPU温度在四五十度以下,其转速仅为一千多转,大大降低了运转的噪音。而设计的最高转速,四千多转,只有在CPU温度接近极限温度即65-67度时候,才会出现。相比传统的温控风扇有着更大的转速控制范围,更好的解决了噪音和性能的问题。

基于PWM控制器芯片的AC-DC电源设计

基于PWM控制器芯片的AC/DC电源设计 目前,在100W以下电源方案中,一般都使用脉冲宽度调制(PWM)控制芯片来实现PWM的调制,开关控制模式相对直流工作模式有很高的工作效率,使用反激离线工作模式,提高了系统工作的安全性,非常适合应用在便携式充电设备及电源适配器,比如,手机充电器,电源适配器等,因此,AC/DC PWM开关电源芯片在市场上的需求量非常大。不过传统的AC/DC电源方案都是使用变压器次级线圈反馈模式(SSR),变压器次级反馈工作模式都需要低压端的恒压-恒流控制芯片协助完成电压的转换和实现恒流,此类应用方案增加了系统应用复杂程度,同时还增加系统方案的设计成本,本文要介绍的AC/DC电源控制芯片是思旺电子的SE3910,这是一款变压器原边线圈反馈模式(PSR)的PWM控制芯片。 SE3910技术特点 SE3910是一款绿色模式PWM控制器芯片,适用于小功率AC/DC充电器,适配器及LED驱动方案;该芯片为SOP-8封装,PWM模式工作时开关频率固定在40KHz,其内部集成了恒压恒流控制模块,应用方案使用PSR模式,省略了传统方案中的光耦合器、恒压/恒流控制芯片及其周围电路,大大简化了芯片的应用成本,降低了系统应用的复杂度。 芯片设计时特别考虑了EMI,对开关频率模块特别设计有频率抖动功能,每3.2ms 的周期内按所设计的顺序出现8种不同的开关频率,将电磁干扰频谱转移到一个相对较宽的频率带宽,从而达到优化系统EMI的目的。 同时SE3910的工作状态使用多模式调节功能,在空载或轻负载时,芯片会自动进入PFM工作模式,保证电源系统输入能量和输出能量精确守恒,防止了轻载或空载时能量过大,当负载升高到芯片所设置的重载设计值时,芯片会控制系统自动进入PWM工作模式,大幅度的优化了系统的工作效率,使系统效率能够达到80%以上,也减小了空载和轻载工作状态下的输出纹波。 芯片设计有软启动功能,很好的抑制了系统上电时的大电流,保护了电路板的损坏,减小了系统启动时的大电流对系统功耗的影响;芯片还具有电源欠压保护功能,LEB 功能、过温度保护功能等,最大程度的提高了芯片工作时的可靠性和安全性;芯片适合应用在5W及5W以下的电源方案中。 典型应用方案 SE3910能广泛应用在各种低功率AC/DC开关电源方案中,比如手机充电器,电源适配器等,除此之外,由于芯片集成有恒流功能,所以也可广泛应用在小功率LED驱动方案中。 图1是SE3910基本的应用电路,其中由变压器/输出级/R3/R4/SE3910等组成负反馈通路,通过调整GATE端的开关信号占空比来控制变压器的转换能量,使系统稳定在设置的工作状态。交流电压先经过一个桥式整流电路将交流转换成高压直流信号,R1和C2组成系统启动电路,VIN是SE3910的启动PIN,COMV PIN上的R5、C6和C7组成系统补偿电路,确保系统具有稳定的频率响应,FB是输出电压检测PIN,通过设置R3/R4就可以调整变压器副边上的电压,根据变压器电压比与匝数比成正比的原理,来实现对直流输出电压的调整;GATE是PWM输出PIN,它用来控制功率管13003来实现控制变压器原边的峰值电流,来达到对变压器转换能量的控制,CS PIN用来检测变压器峰值电流,当系统工作在恒流模式时,CS PIN上的电压会被固定在设置的最大值,也就确定了变压器原边最大峰值电流,从而实现输出也恒流,通过调整R6电阻就可以灵活调整输出恒流值。

PWM控制的基本原理

PWM(Pulse Width Modulation)控制——脉冲宽度调制技术,通过对 一系列脉冲的宽度进行调制,来等效地获得所需要波形(含形状和幅值)。 PWM控制技术在逆变电路中应用最广,应用的逆变电路绝大部分是PWM 型,PWM控制技术正是有赖于在逆变电路中的应用,才确定了它在电力电 子技术中的重要地位。 理论基础: 冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。冲量指窄脉冲的面积。效果基本相同,是指环节的输出响应波形基本相同。低频段非常接近,仅在高频段略有差异。 图1 形状不同而冲量相同的各种窄脉冲 面积等效原理: 分别将如图1所示的电压窄脉冲加在一阶惯性环节(R-L电路)上,如 图2a所示。其输出电流i(t)对不同窄脉冲时的响应波形如图2b所示。从波形可以看出,在i(t)的上升段,i(t)的形状也略有不同,但其下降段则几乎完全相同。脉冲越窄,各i(t)响应波形的差异也越小。如果周期性地施加上述脉冲,则响应i(t)也是周期性的。用傅里叶级数分解后将可看出,各i(t)在低频段的特性将非常接近,仅在高频段有所不同。 图2 冲量相同的各种窄脉冲的响应波形

用一系列等幅不等宽的脉冲来代替一个正弦半波,正弦半波N等分,看成N个相连的脉冲序列,宽度相等,但幅值不等;用矩形脉冲代替,等幅,不等宽,中点重合,面积(冲量)相等,宽度按正弦规律变化。 SPWM波形——脉冲宽度按正弦规律变化而和正弦波等效的PWM波形。 图3 用PWM波代替正弦半波 要改变等效输出正弦波幅值,按同一比例改变各脉冲宽度即可。 PWM电流波:电流型逆变电路进行PWM控制,得到的就是PWM电流波。 PWM波形可等效的各种波形: 直流斩波电路:等效直流波形 SPWM波:等效正弦波形,还可以等效成其他所需波形,如等效所需非正弦交流波形等,其基本原理和SPWM控制相同,也基于等效面积原理。 随着电子技术的发展,出现了多种PWM技术,其中包括:相电压控制PWM、脉宽PWM法、随机PWM、SPWM法、线电压控制PWM等,而本文介绍的是在镍氢电池智能充电器中采用的脉宽PWM法。它是把每一脉冲宽度均相等的脉冲列作为PWM波形,通过改变脉冲列的周期可以调频,改变脉冲的宽度或占空比可以调压,采用适当控制方法即可使电压与频率协调变化。可以通过调整PWM的周期、PWM的占空比而达到控制充电电流的目的。

一种电流型PWM控制芯片的设计

2007年第 24卷第 8期微电子学与计算机 1引言 目前 , 国内 DC-DC 电源需求量日益增大。 DC-DC 转换器分为线性电源和开关型电源。开关型电源的调整管工作在开关状态 , 功耗小 , 效率高 , 因此在计算机、通信、雷达、电子仪器以及家用电器等电子领域有着广泛的应用前景。文中设计并实现了一种高性能的 PWM 控制芯片 , 主要用于开关型 DC-DC 电源的功率控制。该芯片采用可调整的带隙基准源 , 具有基准电压精度高、温漂低的优点。电流型反馈模式的采用使其与传统电压模式的 PWM 控制器相比 , 具有系统动态响应快的明显优点。芯片结构设计合理 , 控制功能齐全 , 为 DC-DC 电源系统提供了高性能的关键芯片。 2电路工作原理及其电流型反馈模式 如图 1所示 , 虚线框内为本电路的设计内容 , 框外是其典型应用的简化电路。本电路的主要模块包括电压基准、振荡器、误差放大器、电流检测比较器、PWM 锁存器、欠压锁定电路、输出级电路和过压保护电路等。 电路工作原理如下 :系统的输出电压 V O U T 经过分压处理作为误差放大器的输入 , 与内部电压基准模块提供的 2.5V 基准电压比较后产生误差电压 , 而变压器初级线圈 (电感的电流在采样电阻上产生 的电压降 V IO U T 作为电流检测比较器的输入 , 与误差放大器产生的误差电压进行比较 , 经过PWM 锁存器和输出级的功率放大 , 输出 PWM 控制信号 Out- 一种电流型 PWM 控制芯片的设计

师娅 , 唐威 (西安微电子技术研究所 , 陕西西安 710054 摘要 :设计并实现了一种高性能的功能齐全的电流型 PWM 控制芯片。电路采用可调整的带隙基准源和电流型反馈模式 , 具有基准精度高、温漂低、系统动态响应快等优点。电路的输出级驱动电流可达 1A , 开关频率可达 500kHz , 具有过压、过流保护和欠压锁定的功能。 关键词 :PWM 控制器 ; 带隙基准 ; 电流型 中图分类号 :TN4文献标识码 :A 文章编号 :1000-7180(2007 08-0145-04 Design of Current-Mode PWM Controller SHI Ya , TANG Wei (Xi ′ an Microelectronic Technology Institute, Xi ′ an 710054, China Abstract :A high performance current mode PWM controller chip is implemented in this paper. High precision, low temperature coefficent and fast dynamic response is achieved by using adjustable bandgap reference and current mode of control in this chip. In addition, The PWM controller can reach up to output current of 1A and switching frequency of 500kHz, and has function such as UVLO, over-voltage and over-current protecting. Key words :PWM controller ; bandgap reference ; current mode 收稿日期 :2006-11-23 145 微电子学与计算机 2007年第 24卷第 8期

PWM控制原理

PWM控制技术 主要内容:PWM控制的基本原理、控制方式与PWM波形的生成方法,PWM逆变电路的谐波分析,PWM整流电路。 重点:PWM控制的基本原理、控制方式与PWM波形的生成方法。 难点:PWM波形的生成方法,PWM逆变电路的谐波分析。 基本要求:掌握PWM控制的基本原理、控制方式与PWM波形的生成方法,了解PWM 逆变电路的谐波分析,了解跟踪型PWM逆变电路,了解PWM整流电路。 PWM(Pulse Width Modulation)控制——脉冲宽度调制技术,通过对一系列脉冲的宽度进行调制,来等效地获得所需要波形(含形状与幅值)。第3、4章已涉及这方面内容: 第3章:直流斩波电路采用,第4章有两处: 4、1节斩控式交流调压电路,4、4节矩阵式变频电路。 本章内容 PWM控制技术在逆变电路中应用最广,应用的逆变电路绝大部分就是PWM型,PWM控制技术正就是有赖于在逆变电路中的应用,才确定了它在电力电子技术中的重要地位。 本章主要以逆变电路为控制对象来介绍PWM控制技术,也介绍PWM整流电路 1 PWM控制的基本原理 理论基础: 冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。冲量指窄脉冲的面积。效果基本相同,就是指环节的输出响应波形基本相同。低频段非常接近,仅在高频段略有差异。 图6-1 形状不同而冲量相同的各种窄脉冲 面积等效原理: 分别将如图6-1所示的电压窄脉冲加在一阶惯性环节(R-L电路)上,如图6-2a所示。其输出电流i(t)对不同窄脉冲时的响应波形如图6-2b所示。从波形可以瞧出,在i(t)的上升段,i(t)的形状也略有不同,但其下降段则几乎完全相同。脉冲越窄,各i(t)响应波形的差异也越小。如果周期性地施加上述脉冲,则响应i(t)也就是周期性的。用傅里叶级数分解后将可瞧出,各i(t)在低频段的特性将非常接近,仅在高频段有所不同。

相关文档
最新文档