数电课程设计洗衣机控制系统

数电课程设计洗衣机控制系统
数电课程设计洗衣机控制系统

课程设计报告

课程设计题目:简易洗衣机控制系统

班级:

学号姓名:指导教师:

设计时间:2015年7月

目录

摘要

第1章概述

第2章课程设计任务及要求

2.1 设计任务

2.2 设计要求

第3章系统设计

3.1 方案论证

3.2 系统设计

3.2.1 结构框架图及说明

3.2.2 系统原理图及工作原

3.3 单元电路设计

3.3.1单元电路工作原理

3.3.2元件参数选择

第4章软件仿真

4.1 仿真电路图

4.2 仿真过程

4.3仿真结果

第5章安装调试(略)

5.1调试要点

5.2 调试过程中出现的问题及解决方法第6章结论

第7章使用仪器设备清单

参考文献

收获、体会和建议

摘要

本设计实现电机的正传、反转、暂停,用两个 LED灯的三种状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,正好一个60秒减计数器可以构成一个循环结构。循环的总时间可以用一个外围100进制减计数器解决,并用两个数码管显示时间。这样一个电路的主体电路就构造出来了,然后一步步实现其具体的功能。用一个74LS74触发器控制整个电路的停止和清零以及连接蜂鸣器计构成报警模块。信号发生器仿真时就直接用方波信号代替,安装电路的时候就直接用脉冲信号。设置两个开关以控制电路的启动和随时停止。

其基本原理是:首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

关键词:计数器指示灯触发器

第1章概述

洗衣机的简易定时控制系统要求实现电机的正传、反转、暂停,需要用4

个LED灯的状态来表示,一个周期为60s,前20秒正传、暂停10秒、反转20秒、再暂停10秒。因此需要分钟计数器、秒计器、秒脉冲产生器脉。涉及到分钟计数器和秒钟计数器所以需要考虑是60分频器,就我们所学过的来说实现循环有移位寄存器;当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。还有一个问题要解决:如何提取时间并使循环电路工作的信号?方案有两种:一是直接从数值上进行提取信号来控制一个可以实现循环的74LS194来实现;另一种是制作一个二十进制到十进制的循环转化来把这一分钟走完,但是从电路的复杂程度和经济性来说,显然后者太过于复杂,也不利于接线和排故障,虽然难度会大一些,但是性能较好。

第2章课程设计任务及要求

2.1 设计任务

洗衣机简易定时控制系统实现电机的正传、反转、暂停,需要用4个LED 灯的状态来表示,一个周期为60s,前20秒正传、暂停10秒、反转20秒、再暂停10秒。

2.2 设计要求

1.洗衣机电机的工作顺序:

启动——>正转20s ——> 暂行10s——> 反转20s——>暂行10 s——>停止2. 用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。

3. 用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。

4. 洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。

5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。

第3章系统设计

3.1方案论证

本实验采取先用multisim7软件对交通灯定时系统的原理仿真,再采用分模块时间进行操作台实现的简易科学方法。实验中采用较为基本的芯片来实现计数器计数、状态转换等功能,这些都是在数字电子模拟课程中重点学习掌握的知识,所以对实物的实现比较容易。

3.2 系统设计

3.2.1 结构框图及说明

如下所示:

3.2.2 系统原理图及工作原理

3.3 单元电路设计

3.3.1单元电路工作原理

1.一百进制分计数器和六十秒计数器设计

(1)分、秒计数器的设计

1.分、秒计数器模块

一百进制分计数器和六十秒计数器的原理是一样的,不同的只是它们的输入脉冲和进制不同而已,我们用四片74LS192来实现分计数和秒计数功能,我们要的只是减计数,所以我们把它的UP端接到高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN联在一

起。当秒脉冲从秒位的DOWN端输入的时候秒计数的192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6

变到5,一直到变为0;当高低位全为零的时候,秒十位的BO发出一个低电平信号,DOWN为零时,置数端LD等于零,秒十位完成并行置数,下一个DOWN脉冲来到时,计数器进入下一个循环减计数工作中。

对于分计数来说,道理也是一样的;只是要求,当秒计数完成了,分可以自动减少,需要把秒十位的借位端BO端接到分计数的DOWN端作为分计数的输入信号来实现秒从分计数上的借位。当然,这些计数器工作,其中的清零端CR要处于低电平,置数端不置数时要处于高电平。这是一个独立工作的最高可以显示101分钟的计时器。把四个192的QA/QB/QC/QD都接到外部的显示电路上就可以看到时间的显示了。作为洗衣机控制器的一个模块,它还得有一定的接口来和其他的模块连接在一起协调工作,分计数的清零端LD是接在一起的;秒的清零端LD又是接在一起的,所以当要从外部把它们强制清零时,可以用一个三极管(NPN)或者两个或门就可以实现该功能。还有我们可以利用分计数的UP端来进行外部置数,当把它们各接到一个低触发(平时保持高电平,外部给一个力就输入一个低电平)的脉冲上就可以实现从0-9的数字输入。

2.秒脉冲产生器原理

秒脉冲发生器由555定时器电路及外围电路组成,电路接通电源的瞬间,由于电容C1来不及充电,Vc=0V,所以555定时器状态为I,输出Vo为高电平。同时,集电极输出端DIS对地断开,电源Vcc对电容C1充电,电路进入暂稳态I,此后,电路周而复始地产生周期性的输出脉冲。多谐振荡器两个暂稳态的维持时间取决于RC充、放电回路的参数。暂稳态Ⅰ的维持时间,即输出Vo的正向脉冲宽度T1≈0.7(R1+R2)C1;暂稳态Ⅱ的维持时间,即输出Vo的负向脉冲宽度

T2≈0.7R2C1。

因此,振荡周期T=T1+T2=0.7(R1+2R2)C1,振荡频率f=1/T。正向脉冲宽度T1与振荡周期T之比称矩形波的占空比D,由上述条件可得D=(R1+R2)/(R1+2R2),若使R2>>R1,则D≈1/2,即输出信号的正负向脉冲宽度相等的矩形波(方波)。若T=1s,令C=10uF,R1=39kΩ,则R2约为51kΩ。

555定时器构成多谐振荡器的工作波形图

原理图:

3..指示灯显示模块

用2片74LS151数据选择器,将秒针的十位输出信号的低三位依次连接到

74LS151的A、B、C输入端,74LS151的输出端分别连接一个LED灯。电路图如下:

4.控制电路

在各单元电路完成了,最后要把它们有效的结合起来联合工作,实现目的功能。我们要求在给分钟置数的同时秒要显示为零;外部还要有强制停止并清零;还有暂停功能。

对于置数来说,我们可以在分钟计数器的UP端到高电平之间各用一个开关接上,就当给它一个低电平时,计数器就往上增加1。但时,192要求在UP端工作的同时,其DOWN端要为高电平,且秒计数器要为零,我们可以让秒计数器清零,同时把脉冲停止了。在高电平上接上一个开关,让它接到一个JK触发器上,同时把JK触发器的JK端接1,让它实现触发功能。让它的Q端输出到秒计数器的清零端,这样刚开机或者再按一下开机键就可以对秒计数器清零。把JK触发器的Q反端和从分计数器借位端Bo反相出来的信号接到一个与非门上,从与非门出来的信号接到分计数器的DOWN端来保证置数的时候DOWN是高电平。但是如果仅是这样的话,当置数完成再一次按开机键(如图中的J3所示)时,没有脉冲信号输入到秒计数器的DOWN端,192并不可以工作。我们可以把脉冲和JK触发器Q反端接到一个与门上,然后把它上输出端接到秒计数器的DOWN端以控制计数。先歇息一下。现在的问题是,循环的发光二极管没有受到控制键的控制,所以还得把受到控制的从与门U12出来的信号输出到移位寄存器194的时钟信号CLK 上。

最后要解决一个大问题,当所置的洗衣时间完成后,要发出报警并自动清零。至于报警电路我们知道当计数器全为零的时候,从秒位会发出一个借位信号,一直接到十分位上去,十分位会发出一个借位信号,我们可以用这个信号来作为报警并清零的信号,平时192的借位端保持的是高电平,当有借位信号时,其变成0,我们在分十位借位端接一个非门,再把它和分位的CLR端一起接到一个与门,也需要把它接到一个JK触发器(U10)上作为其时钟信号,其后再接到单稳态电路

的输入端TRI,单稳态的输出端接到蜂鸣器上。而该与门(U14)的另一输入端接在控制开关J3上,与门出去仍然接在JK触发器U9上,这样当洗衣时间完成后,十分计数器的借位端Bo端发出的0信号就可以经过以上路径而变成1到达与门U14,同时JK触发器U10得到一个触发信号而输出到单稳态,从而发出报警声,但一段时间后其自动停止。同时U14发出的1信号使U9发出1信号而使秒计数器清零;当然秒脉冲因为U9端的Q反端的0信号而使其没有输出,这样原来闪烁的灯不再亮了。到此,一个电路总算还可以了吧,我们有时还需要让它休息一下,我们改变一下洗衣量时,就还需要一个暂停键,这也可以的,只要把秒脉冲切断就可以了。我们可以在控制脉冲输出的与门U12和脉冲到达端之间接入一个由开关控制的JK触发器来控制的与门,这样就可以控制脉冲的输出了。我们知道与门是其中一输入为零时,无论另一端时怎样的其输出为零,但一端为1时,另一端输入什么与门就可以输出什么。

现在控制端也连起来了,这样,一个完整的洗衣机控制电路就完成了。

其整体原理图如下:

3.2.2 元件参数选择

电容C=10uF

电阻R1=39K R2=51K (用固定电阻47K与5K的点位器相串联代替电阻R2)。

第4章软件仿真

4.1 仿真电路图

(1)分、秒计数器

(2)①秒脉冲产生器

②仿真波形图

(3)整体电路图仿真

4.2仿真过程及结果正转:

反转:

暂停:

停止:

第5章安装调试

本设计仅实现至Multisim软件仿真阶段,未进行实际的安装调试,因此省略安装调试的环节。

第6章结论

经过一周的努力,我终于完成了电子课程设计——交通灯定时控制电路系统。通过两周不断的查找资料的过程让我积累了很多实际操作经验,已初步掌握了数电的应用技术,以及数字电路的知识和有关器件的应用。我深刻地体会到数字电子技术对当代社会发展的重要作用。经过这次课程设计,我学到很多东西。社会的不断发展电子产品实践使我认识到我现在所学的知识还远远不够,在实际操作应用中有些问题还不能解决,所以我要在今后的学习中更加努力,学好自己的专业知识以充实自己,来适应日新月异的现代社会。在这次课程设计中,我学会了Multisim的使用和操作,学会了如何利用网络资源,学会了怎么看电路图,

读电路图,这些都使我受益匪浅,并为我以后的学习和工作积累了丰富的经验。

经过了这次课程设计,深有感触,收获也是不少的,它使我们对课本以及以前学过的知识有了一个更好的总结与理解,也让我们知道了,光分开使不够的,从外面学到的知识要把形成一各整体,这也很好的训练了外面对开发一个项目应该有怎样的思路和准备更清晰。它让我学会了如何把理论与实践结合起来。有这样的机会和收获,要感谢老师的辅导以及同学的帮助,无论是学习还是生活,生活是实在的,要踏实走路,虽然自己走出来的路要崎岖的多,但觉得踏实、放心。

第7章使用仪器设备清单

参考文献

1.李景宏,马学文.电子技术实验教程.沈阳:东北大学出版社.200

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

模拟电路课程设计心得体会

模拟电路课程设计心得 体会 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

精选范文:《模拟电路》课程设计心得体会(共2篇)本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做完了课程设计。在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地连接电路,然后翻阅了大量书籍,查资料,终于在书中查到了有关章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数字频率计时就不是那么一帆风顺了。我同样是查阅资料,虽找到了原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来代替。在此,我深表遗憾!这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

数电课程设计 (1)

电子技术课程设计 题目名称:音乐彩灯控制 班级: 学号: 姓名: 指导教师: 日期:2013年6月20日

一.题目: 音乐彩灯控制器 二.设计任务与要求 (1) 任务 设计一种组合式彩灯控制电路,该电路由三路不同控制方法的彩灯所组成,采用不同颜色的发光二极管作设计实验。 (2) 要求 ①第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 ②第二路按音量的强弱(信号幅度大小)控制彩灯。强音时,灯的亮度 加大,且灯被点亮的数目增多。 ③第三路按音调高低(信号频率高低)控制彩灯。低音时,某一部分灯点 亮;高音时,另一部分灯点亮。 三.题目分析 根据课题要求,本控制器可分别用三部分电路实现。 ①音乐的节奏往往由乐队的鼓点来体现,实质上它是具有一定时间间隔的节拍脉冲信号。因此,可采用计数、译码驱动电路构成节拍脉冲信号发生器(或称时间顺序控制器),使相应的彩灯按节奏点亮和熄灭。 ②为实现声音信号强弱的控制,应该将声音信号变成电信号,经过放大、整流滤波,以信号的平均值驱动彩灯发亮。信号强,则灯的亮度大,且点亮灯的数目增多。 ③为实现高、低音(不同频率信号)对彩灯的控制,采用高、低通有源滤波电路。低通滤波器限制高音频信号通过,而高通滤波器限制低音频信号通过,分频段输出信号,经过放大驱动相应的发光二极管点亮。 (2) 控制器原理框图 ①采用运算放大器或555定时器构成多谐振荡器,产生矩形波信号作为计数器的

时钟脉冲。 ②计数器输出经译码器可得多路译码输出信号,再通过驱动器使相应的彩灯点亮。 ③采用动圈式话筒或者扬声器,将声响信号变成电信号输出,并经放大器将其放大。由于音频信号的频率高于发光元件的响应频率,为使发光元件有适当的显示时间,可加入延时电路,减少发光元件闪烁现象。 ④人耳听觉范围的信号频率在20Hz-20kHz,为简单起见,可将音频信号分成两个不同频段,分别用低、高通滤波器来区分这两段频率信号,然后经驱动电路使音响放大器的设计。 四.结构原理图 控制器原理图 五.整体详细设计 1.第一路电路设计:为音乐节奏控制彩灯,按音乐节拍变换彩 灯花样 首先设计多谐振荡器,电路如下:

数字电子技术课程设计,数字钟的设计

武汉理工大学《数字电子技术》课程设计说明书 目录 1绪论-----------------------------------------------------------------------------------------1 2设计方案概述-------------------------------------------------------------------------2 2.1系统设计思路与总体方案---------------------------------------------------------------2 2.2总体工作过程------------------------------------------------------------------------------2 2.3各功能块的划分和组成------------------------------------------------------------------3 3单元电路设计与分析--------------------------------------------------------------3 3.1秒信号的发生电路------------------------------------------------------------------------3 3.2时、分、秒计数电路---------------------------------------------------------------------4 3.2.1秒部分-----------------------------------------------------------------------------------5 3.2.2分部分-----------------------------------------------------------------------------------5 3.2.3时部分-----------------------------------------------------------------------------------6 3.3校正时、分电路---------------------------------------------------------------------------7 3.3.1校分电路--------------------------------------------------------------------------------7 3.3.2校时电路--------------------------------------------------------------------------------8 3.4整点报时电路------------------------------------------------------------------------------8 3.5闹钟功能电路------------------------------------------------------------------------------9 5电路的调试与仿真-----------------------------------------------------------------9 4总体电路原理图---------------------------------------------------------------------11 6元器件清单-----------------------------------------------------------------------------12 7设计体会及心得---------------------------------------------------------------------12 参考文献------------------------------------------------------------------------------------14

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

数电课程设计——简易交通灯控制逻辑电路 (1)

课程设计说明书 课程名称:数字电子技术课程设计 题目:简易交通灯控制逻辑电路 学生姓名:陈卓斌 专业: ____________ 班级: ____________ 学号: ____________ 指导教师: ____________ 日期: 2011 年 01 月 09 日

课程设计任务书 一、设计题目 简易交通灯控制逻辑电路设计 二、主要内容及要求 要求实现逻辑功能: 1、东西方向绿灯亮,南北方向红灯亮,时间60s。 2、东西方向与南北方向黄灯亮,时间5s。 3、南北方向绿灯亮,东西方向红灯亮,时间45s。 4、如果发生紧急事件,可以手动控制四个方向红灯全亮。 三、进度安排 1、2011.01.01-2011.01.07 复习归纳触发器、计数器的逻辑功能 3、2011.01.09 使用EWB5.12辅助设计电路,并进行调试。完成任务设计书。 四、总评成绩

简易交通灯控制逻辑电路设计 一、设计任务与要求 要求实现逻辑功能,在1-3状态循环。 1、东西方向绿灯亮,南北方向红灯亮,时间15s; 2、东西方向与南北方向黄灯亮,时间5s; 3、南北方向绿灯亮,东西方向红灯亮,时间l0s; 4、如果发生紧急事件,可以手动控制四个方向红灯全亮。 二、方案设计与论证y 1、分解任务要求 任务要求实际上就是4个状态,不妨设: S1、东西方向绿灯亮,南北方向红灯亮,时间15s; S2、东西方向与南北方向黄灯亮,时间5s; S3、南北方向绿灯亮,东西方向红灯亮,时间l0s; S4、如果发生紧急事件,可以手动控制四个方向红灯全亮。 【表1】 主电路状态与指示灯状态转换 注:R,G,Y=红,绿,黄灯。

数电课程设计数字电子钟说明书

数字电子技术电路课程设计题目:数字钟课程设计 学院:XXXXX 专业:XXXXX 班级:XXXX 姓名:XXXX 学号:XXXXX 指导老师:XXXXX

一、设计目的 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 二、设计要求 1.显示时,分,秒,用24小时制 2.能够进行校时,可以对数字钟进行调时间 3.能够正点报时(用555产生断续音频信号); 三、设计方案比较 方案一、采用中小规模集成电路实现 采用集成逻辑电路设计具有能实现,时、分、秒计时功能和定点报时功能,计时模块采用时钟信号触发,不需要程序控制。 方案二:EDA技术实现 采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展 方案三、单片机编程实现 此方案采用单片机编程来设计和控制。 综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,同时符合此次数子电子知识设计的要求。 四、设计过程和说明 1.数字电子钟计时和显示功能的实现 (1)采用两片十进制计数器74LS160N扩展连接,设计60进制的计数器,显示0到59,在59时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到59。(图)

(2)24进制亦采用两片十进制计数器74LS160N扩展连接,设计24进制的计数器,显示0到23,在23时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到23(图)

模拟电路课程设计心得体会

模拟电路课程设计心得体会 本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电 路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈 兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电 子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。 这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压 影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、 空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎 烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做 完了课程设计。 在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正 弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地 连接电路,然后翻阅了大量书籍,查资料(material),终于在书中查到了有关 章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数 字频率计时就不是那么一帆风顺了。我同样是查阅资料(material),虽找到了 原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来 代替。在此,我深表遗憾! 这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,

而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

数电课程设计--简易电子琴

目录 1 设计任务 (1) 1.1 基本任务 (1) 1.2 扩展任务 (1) 2 设计方案原理 (1) 3 单元电路的设计 (2) 3.1 多谐振荡器 (2) 3.2 琴键开关 (3) 3.3 扩音器(喇叭) (4) 3.4 器件选择 (4) 4 电路图的绘制 (5) 5 电路的仿真及调试 (6) 6 体会 (6) 参考文献 (8)

1设计任务 电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。 本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。 本次设计的任务为: 1.1基本任务 ①具备8个按键,能够分别较准确地弹奏出1?1八个音符。 ②选择电路方案,完成对确定方案电路的设计。计算电路元件参 数与元件选择、并画出总体电路原理图,阐述基本原理。用 Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计 报告书。 1.2扩展任务 ①能够弹奏出至少21个音符(三个音阶)。 ②能够较便捷地完成音阶的升降。(按一个开关实现升8度,按另一个开关实现降 8度) 2设计方案原理 本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。

原理框图如下: 图1原理框图 3单元电路的设计 3.1多谐振荡器 利用多谐振荡器产生周期脉冲电路图如下图所示 图2 多谐振荡器电路实现 图中引脚功能: 1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。 2脚:TR低触发端。 3脚:OUT(或Vo)输出端。 4脚:Rd是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。 5脚:CO或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的

数字电子课程设计数字钟

数字电路课程设计报告 目录 一、………设计课题 二、………设计任务 三、………设计要求 四、………分析及设计过程 五、………组装及调试过程 六、………参考文献(各芯片功能) 七、………设计心得及总结

一、设计课题 多功能数字钟电路设计. 二、设计任务 1给定的主要器件: 芯片数量芯片数量555 1 74ls191 1 74ls90 2 74ls74 1 74ls92 1 74ls00 2 74ls47 4 2实验原理图:

三、数字钟的功能要求 ①基本功能 以数字形式显示时、分、秒的时间,为节省器件,其中秒的个位可以用发光二极管指示,小时的十位亦可以用发光二极管指示,灯亮为“1”,灯灭为“0”。小时计数器的计时要求为“12翻1”。要求手动快速校时、校分或慢校时、慢校分。②扩展功能定时控制,其时间自定;仿广播电台整点报时;触摸报整点时数或自动报整点时数。 2、设计步骤与要求:①拟定数字钟电路的组成框图,要求设计优化,电路功能多,器件少,成本低。②设计并安装各单元电路,要求布线整齐、美观,便于级联与调试。③测试数字钟系统的逻辑功能,使满足设计功能的要求。④画出数字钟系统的整机逻辑电路图。⑤写出课程设计实验报告。 四、设计分析于过程 本课题是数字电路中计数、分频、译码、显示及时钟振荡器等组合逻辑电路与时序逻辑电路的综合应用。通过学习,要求掌握多功能数字钟电路的设计方法、装调技术及数字钟的扩展应用。 1、数字钟的功能要求(1)基本功能:①准确计时,以数字形式显示时、分、秒的时间;②小时的计时要求为“12翻1”,分和秒的计时要求为60进位;③校正时间。(2)扩展功能①定时控制;②仿广播电台整点报时; ③报整点时数;④触摸报整点时数。 2、数字钟电路系统的组成框图

数电声控灯课程设计

苏州科技大学 数字电子技术课程设计 院系:电子与信息工程 专业:电子信息工程 班级:1199 学号:1254567788 姓名:李明

目录 第一部分设计说明 (2) 1.1 设计任务 (2) 1.2 实验目的 (2) 1.3目的与意义 (2) 第二部分原理方案设计 (3) 2.1 方案的形成和方案的比较 (3) 2.2 具体框图原理解释与相关器件的考虑 (3) 2.3 具体的理论依据 (3) 第三部分详细设计过程 (5) 3.1 原理图设计方法与具体过程 (5) 3.2原理图的重点解释和设计考虑 (5) 3.2.1 由555定时器接成的单稳态触发器 (5) 3.2.2 继电器器控制电路 (6) 3.2.3 声控电路部分 (7) 第四部分实验 (8) 4.1 实验仪器 (8) 4.2 实验电路图 (8) 4.2 实验注意 (8) 第五部分实验总结 (9)

第一部分:设计说明 1设计任务 楼道声控灯控制器设计,具体要求如下: 1:器件条件:声音传感器、555定时器、继电器、LED灯泡,其它自定; 2:功能实现:当有声音时,开启灯光,并维持2秒钟,然后灯光关闭。 3:基于器件条件,不可以采用其它微控制器,可只有发挥完成功能。 2目的与意义 训练综合运用学过的数字电子技术、硬件设计基础及电路相关基本知识,培养独立设计比较复杂数字系统设计能力。 通过综合设计,力争掌握使用基本电子元器件设计数字系统电路的基本方法,包括原理方案的确定、Protel画图工具、原理图的绘制、软件模拟与理论计算过程,为以后进行工程实践问题的研究打下设计基础。 本次课程设计的内容是光电声控灯,是日常生活中非常常见,应用非常广泛的一种声控灯,它不需要开关,有人经过时会自动亮,可以通过设计确定其亮一次大概的时间,方便快捷,我们都会看到过它的外形,本次课程设计,会论述光电声控灯的原理,所用到的各种器件引脚及其功能, 随着社会的发展,资源的大量开采,能源在逐渐的减少,所以现在要建立节能意识,电能是首当其冲,楼道声控灯就是楼道的一个重要的节能装置,它由声音控制电路和三五定时器,再加上输出信号通过继电器来连接起来,以控制灯亮的时间来达到节能的目的。另外,由于频繁开关或其他人为因素,墙壁开关的损坏率很高,既增大了维修量、浪费了资金,又容易造成事故隐患。当有人走过楼道通道,发出脚步声或其他声音时,楼道灯会自动点亮,提供照明进入家门或走出公寓,楼道灯延时几分钟后会自动熄灭。

电子时钟课程设计_数电课程设计数字电子时钟的实现

电子时钟课程设计_数电课程设计数字电子 时钟的实现 课程设计报告设计题目:数字电子时钟的设计与实现班级: 学号: 姓名: 指导教师: 设计时间: 摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim 7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输 出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集 成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、 性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路目 录摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1设计任务 (4) 2.2设计要求 (4) 第3章系统设计 (6) 3.1方案论证 (6) 3.2系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1 单元电路工作原理 (8) 3.3.2 元件参数选择···································14 第 4章软件仿真 (15) 4.1仿真电路图 (15) 4.2仿真过程 (16)

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

数电课程设计心得题目汇总【模版】

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗:

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 〖主要参考元器〗:CC4511,CC14522,CD4060

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

数电模电课程设计

目录 1 数字电子设计部分......................................... 错误!未定义书签。 1.1六进制同步加法计数器 1.1.1课程设计的目的 1.1.2设计的总体框图 1.1.3设计过程 1.1.4设计的逻辑电路图 1.1.5设计的电路原理图 1.1.6实验仪器 1.1.7实验结论(分析实验中出现的故障及产生的原因 1.1.6实验仪器 1.1.7实验结论(分析实验中出现的故障及产生的原因 1.2串型数据检测器 1.2.1课程设计的目的 1.2.2设计的总体框图 1.2.3设计过程 1.2.4设计的逻辑电路图 1.2.5设计的电路原理图 1.2.6实验仪器 1.2.7实验结论(分析实验中出现的故障及产生的原因) 1.3参考文献

2 模拟电子设计部分......................................... 错误!未定义书签。 2.1 课程设计的目的与作用............................... 错误!未定义书签。 2.1.1课程设计...................................... 错误!未定义书签。 2.2 设计任务、及所用multisim软件环境介绍.............. 错误!未定义书签。 2.3 电路模型的建立..................................... 错误!未定义书签。 2.4 理论分析及计算..................................... 错误!未定义书签。 2.5 仿真结果分析....................................... 错误!未定义书签。 2.6 设计总结和体会..................................... 错误!未定义书签。 2.7 参考文献........................................... 错误!未定义书签。

相关文档
最新文档