WinCC里如何快速导入 STEP 7变量

WinCC里如何快速导入 STEP 7变量
WinCC里如何快速导入 STEP 7变量

从WinCC里调用STEP 7 的变量

1、从WinCC里调用STEP 7 变量的意义和前提条件

2、在Simatic Manager里建立新的WinCC项目

3、把现成的WinCC项目集成到STEP 7 项目中

4、把变量(符号表,共享DB)从STEP 7传送到WinCC里

5、在WinCC里直接调用STEP 7的符号表、共享DB块和背景DB里的变量

该文档的软件环境:

Windows XP Professional SP1 English

WinCC V6.0 SP2 HF2 Europe

STEP 7 V5.3 SP1

1、从WinCC里调用STEP 7 变量的前提条件

从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。

从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。

在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。

要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。

请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件:

图 1. 与集成相关的WinCC组件

2、在Simatic Manager里建立新的WinCC项目

在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC 项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New

Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件。

图 2. 插入OS站

你可以在OS站上点击右键,选择“Rename”来修改WinCC项目名称。

可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目。

图 3. 改名和打开WinCC项目

3、把现成的WinCC项目集成到STEP 7 项目中

如果你在一台计算机上已经安装了兼容的WinCC和STEP 7,并且有了一个单独使用的WinCC项目,想把它集成到一个已有的STEP 7项目中去。

那么,你必须先添加与集成相关的WinCC组件。把WinCC光盘放入光驱,并

启动WinCC的安装程序。如果你已经安装了WinCC,则弹出如下画面。

图 4. 添加新的组件

点击图中的第一个按钮,按照图1添加与集成相关的WinCC组件。

按如下步骤把已有WinCC项目文件插入STEP 7项目文件

1. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC项目名

称。

2. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在

STEP 7 项目文件夹里的wincproj文件夹下,例如:

d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\'Name of the OS'

3. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路

径下。项目复制器位于开始菜单项"Start > SIMATIC > WinCC > Tools"。

注意:

对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具(Packer)来归档WinCC项目。

4、把变量(符号表,共享DB,背景DB)从STEP 7传送

到WinCC里

STEP项目文件里的符号表,共享DB里的变量可以一次性的传入继承的WinCC项目文件。

具体步骤如下:

1、为需要传输的变量打上“传输标志”

l为符号表变量做注释

图5、为符号表变量做注释

如上图所示,在需要传输的变量上点击右键,从关联菜单中选择“Special Object Properties \ Operator Control and Monitoring…”,打开Operator Control and Monitoring对话框,激活复选框“Operator Control and Monitoring”,并点击“OK”关闭对话框。

此时该变量前将显示绿色小旗,如下图所示:

图6、符号表变量注释标志

如需对成批变量进行该操作,可以使用“Shift+鼠标左键”进行批选择,或者使用“Ctrl+鼠标左键”对成批选择的变量进行个别增减。

l为共享DB的变量做注释

在需要传输的变量上点击右键,从关联菜单中选择“Object Properties”,打开Properties 对话框,

图7、共享DB注释菜单入口

在对话框第一行输入如下图所示参数并点击“OK”键退出。

图8、注释字串

此时被选中变量前被用红色小旗标志,如下图所示:

图9、共享DB变量注释标志

l为背景DB的变量做注释

打开相应的FB,在需要传输的变量上点击右键,从关联菜单中选择“Object Properties”,打开Properties 对话框

在Attribute页第一行输入如下图所示参数并点击“OK”键退出。

l开启共享和背景DB的操作和监视功能

DB里的变量被标志后,必须启动DB的“Operater control and monitor”功能才能启动变量传输。如下图所示:

选中该复选框后,“WinCC Attributes”页被显示,其中列举了所有被标准过的变量。

2、将被标志的变量传送到WinCC项目文件中

在SIMATIC Manager的菜单中选中选项“Options\OS\Compling…”,如下图

所示:

图10、编译过程一

在弹出的对话框中点击“Next >”按钮:

图11、编译过程二

在下一个对话框中选择“Next >”

图12、编译过程三

注:如果项目中已经建立多个PLC站,OS站或多个链接,需要在该对话框中选择被操作的站及连接。

在下一个对话框中选择“Next >”

图13、编译过程四

在下一个对话框中选择“Next >”

图14、编译过程五

出现如下图所示编译进度窗口:

图15、编译进度

编译完成后,弹出如下对话框,选择如下图选项,并用“OK”键确认

图16、

编译完成后系统会提示编译是否成功,如果失败会弹出相应的记录文件。

编译成功后,打开WinCC项目文件。可以看到,系统已经在变量管理器里自动生成了相应的WinCC变量。

图17、变量传入WinCC项目文件

5、在WinCC里直接调用STEP 7符号表或DB块里的变量

1、在STEP 7 项目文件中生成符号表变量或DB块变量,以下列图示为例:

图18、符号表里的变量

图19、共享DB块里的变量

2、在WinCC里需要变量引用的位置进行变量选择,出现变量选择对话框后,选中

对话框右上角的“STEP 7 Symbol Server”复选框。此时,对话框右侧变量选择列表中将显示“STEP 7 Symbol Server”列表。从改列表中可以显示STEP 7符号表和共享数据块里的所有变量。如下列图示:

图 20、直接选择符号表变量

图21、直接选择共享DB块的变量

在直接选择所需变量后(如果是第一次直接选择STEP 7变量,系统将弹出如下对话框,直接点击“OK”键),将自动根据STEP 7 项目文件的设置产生所需的通道、连接和变量,并建立该变量与相应对象的关联。而在STEP 7项目文件中,相应变量将被打上相应的“传输标志”。

图 22、

注1:用上述两种方法只能传输基本数据类型的变量。字串、数组、Date and Time等复杂数据类型不能被传输。

注2:为保持数据一致性,传输过后的变量不能从WinCC里直接修改或删除,必须从STEP 7项目文件中进行修改并再次编译。

如何将step7变量自动导入到wincc

一、从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC 与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,在communitation 下的所有选项都必须钩选。 二、在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC 项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中 按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC项目名称。 B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP 7 项目文件夹里的wincproj文件夹下,例如: d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\Name of the OS C. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路径下。项目复制器位于开始菜单项"Start > SIMATIC > WinCC > Tools"。 注意: 对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具(Packer)来归档WinCC项目。 四、把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 STEP项目文件里的符号表,共享DB里的变量可以一次性的传入继承的WinCC 项目文件。 具体步骤如下: A、为需要传输的变量打上“传输标志” 在需要传输的变量上点击右键,从关联菜单中选择“Special Object Properties \ Operator Control and Monitoring…”,打开Operator Control and Monitoring对话框,激活复选框“Operator Control and Monitoring”,并点击“OK”关闭对话框。此时该变量前将显示绿色小旗 如需对成批变量进行该操作,可以使用“Shift+鼠标左键”进行批选择,或

WinCC中的画面模板

WinCC中的画面模板 介绍 画面模板是WinCC做画面组态的常用工具。现实工作中经常遇到多个设备需要显示相同参数(组)的情况。使用画面模板,可以避免反复组态相同画面布局的工作,减少项目后期某些细节部位的修改而带来的工作量;画面模板与结构变量的配合使用,可以在一个画面中根据条件显示具有相同类型参数的多个对象(如电机),极大地丰富了画面信息。 下面列出了四种不同的实现方式: ?用户自定义对象 ?画面原型 ?使用变量前缀的画面窗口 ?使用动态向导的画面窗口 1. 用户自定义对象 图1 所示为用户自定义对象的样例 用户自定义对象是由多个WinCC对象组合而成的,可以挑选其中的某些用户需要的属性作为用户自定义属性,因此生成的新对象可作为模板连接不同的变量,这种模板不适用于结构变量。观看制作演示请双击下面的压缩文件,运行其中的可执行文件。

2. 画面原型 图2 所示为画面原型的样例 在用户自定义对象的基础上,如果要支持结构变量的使用,可以用动态向导的方法来简化工作。如例子所示,首先做好自定义对象的模板,利用标准动态下的”Add dynamics to the prototype”在输入输出值属性里连接结构变量,将这个模板拷贝成多份,分别创建标准动态下的“Link a prototype to a structure or rename an existing link”,在创建的过程中,您可以选择和原型结构类型相同的其它前缀,用这个模板迅速生成连接不同结构变量的自定义对象。观看制作演示请双击下面的压缩文件,运行其中的可执行文件。 注:以上两种方式主要用于一些对象集合需要多次使用,连接多套参数的情况,但是不能使用脚本,动态对话框等,如果要实现复杂的功能,则需要画面窗口。画面窗口也分为两种实现方式,使用变量前缀型和动态向导型。 3. 使用变量前缀的画面窗口

WinCC-STEP7仿真

WinCC-STEP7仿真 一、描述 通常我们做项目的过程中有PLC编程(STEP7平台),上位组态监控(WinCC),WinCC与PLC通过以太网方式通讯实现监控;项目编程工作完成后,为了安全起见,我们通常会通过仿真的方式测试程序,检查错误,本文介绍仿真建立的过程; 二、材料 WinCC v7.5,STEP7 v5.6,S7-PLCSIM V5.4+SP8 三、STEP7仿真步骤 在Step7中打开项目如图1所示,点击选项(Options)显示下拉窗口,选中“设置PG/PC接口”进入图2; 图1 选择PLCSIM.TCPIP.1 以太网方式通讯,点击确定; 返回Step7如图3所示,打开仿真器如图4所示; 在图4中可看到PLCSIM(TCP/IP)协议,对应PG/PC接口;

图2 图3 图4

PLC处于STOP模式见图4,如图5所示下载程序到仿真器(全部内容); 打开OB1如图7,点击在线如图8所示,且在状态栏可知PLC状态为STOP; 图5 图6

图7 图8

打开仿真器,将PLC状态调整为RUN-P如图9,至此STEP7仿真成功; 图9 图10

四、WinCC仿真步骤 打开WinCC项目如图10所示,点击“变量管理”->“SIMATIC”-> “TCP/IP”-> “系统参数” 在“系统参数-TCP/IP”->“逻辑设备名称”设置为PLCSIM.TCPIP.1 图11 在“变量管理”->“SIMATIC”-> “TCP/IP”-> “PLC1”中右击连接参数-TCPIP 如图12所示,在IP地址栏需要输入PLC的IP地址; 如何查看PLC的IP地址: 在STEP7中选择图13中hardware,进入图14,点击硬件CP443-1的属性即可查看PLC的IP地址; 注:WinCC修改变量管理中的通讯参数之后再重新启动WinCC

wincc硬拷贝使用

如何打印一个图像的部分区域(如一个曲线窗口)? 显示订货号 说明: 例如,在图像中有一个曲线窗口,用户想要得到这个窗口的硬拷贝。要得到硬拷贝,用户需要知道该窗口的坐标和尺寸。然后可以通过一个按钮激活该硬拷贝,如下: #include "apdefap.h" void OnClick(char* lpszPictureName, char* lpszObjectName, char* lpszPropertyName) { WinExec("PrtScr.exe /C=\"20;10;800;400\"",SW_SHOWNORMAL); } 在指令“PositionX;PositionY;Width;Height”中的图片对应于窗口(图T1_01)左上角的坐标和尺寸。 图01 注意事项:

如果添加了参数“-nomcp”,正在打印的区域会被忽略。 关键字: 打印屏幕 在WinCC中打印趋势和报表的技巧 显示订货号 如何更改打印输出信息的列宽或用户布局中的变量日志表? o对于打印输出信息或变量日志表,可以在相关的布局中分别改变各列的宽度。

图T1_02 ?如何通过鼠标点击触发打印作业? o要实现此功能,用户可以使用WinCC标准功能中的C函数“RPTJobPrint”,该标准函数在“报表”下。 根据用户按钮选择生成C脚本,并从标准函数中调用“RPTJobPrint”函数。指 定用户想要打印作业的名称参数。 ?如果使用报表设计器Report Designer创建pdf文件? o使用报表设计器Report Designer创建的WinCC报表可以输出到打印机上,也可以将其输出为一个emf文件。为了输出一个pdf报表文件,用户必须先安 装一个PDF打印机,如Acrobat Writer。 ?为什么打印控制保留为空? o如果客户机上的打印控制为空,对于布局中连接的变量,则必须在这些变量前添加服务器前缀。 ?在SIMATIC WinCC Report Designer中,为什么不能正确显示打印作业预览? o在WinCC Report Designer中,必须安装打印机以便正确显示打印作业预览。 如果没有连接到计算机的打印机,请按以下步骤进行安装:

WINCC与STEP7的通信

WinCC与Step7-300通讯 步骤如下: 1、用一根普通网线连接计算机和以太网模块。 2、启动Step 7 ,点击“选项---->设置PC/PG接口”,将通讯接口设置为ISO的那个,指向你正在使用的网卡。 3、点击“PLC--->编辑以太网节点”,输入以太网模块上的MAC 地址,以及你需要分配的IP地址,子网掩码,最后点击“分配IP组态”,IP分配完成。 4、点击“选项---->设置PC/PG接口”,将通讯接口设置成TCP/IP。 5、在PLC硬件组态中,将以太网IP地址设置成你刚才分配的那个IP。 6、现在你可以正常通过以太网下载程序了。 如果mmc卡是空的或者卡里面的硬件配置程序跟现有硬件一致,可以用mac地址下载。否则,必须先清空mmc卡或者先用mpi下载 ?三菱PLC输出指示灯安装于各自的输出模块上,PLC输出指示灯用于指示PLC输出信号的状态。当输出指示灯不亮时,检查、确定故障原因。 ?当利用编程器检查,确认PLC输出已经为“1”,且更换模块后PLC输出可以正常输出时,如对应的指示灯还是不亮,在检查输出模块安装、连接正常的基础上,可以 确认故障是PLC输出模块或对应的输出点本身不良引起的。输出模块、输出点本身 不良可能的原因有: ? 1.采用汇点输出(无源)时,可能PLC输出接口电路损坏;

? 2.采用源输出(有源)时,因输出负载过重、短路引起了三菱PLC内部电源电压的降低、保护; ? 3.当故障发生在扩展单元时,可能是基本单元与扩展单元间的连接不良; ? 4.三菱PLC输出接口电路损坏等。测量三菱PLC输出电压、检查模块安装与连接,在确认正确后,应更换输出模块或进行输出模块的维修与处理。 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

WINCC与STEP7模拟通信设置

WinCC与Step7-300通讯 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

wincc 常用脚本

DeactivateRTProject ();//退出系统 #pragma code("useadmin.dll") #include"PWRT_api.h" #pragma code() char* CUser=NULL; CUser=GetTagChar("@CurrentUser"); if (strlen(CUser)==0) { PWRTSilentLogin("operator","123456");//用户“operator",密码"123456”登录 } OpenPicture("@MAIN.pdl"); } 在画面中结合不同按钮来组态下面 C 操作 Login Action for login (for example, "mouse click" event): #pragma code ("useadmin.dll") #include "PWRT_api.h" #pragma code() PWRTLogin('c'); Logout Action for logout (for example, "mouse click" event): #pragma code ("useadmin.dll") #include "PWRT_api.h" #pragma code() PWRTLogout(); PWRTLogin参数必须是CHAR 字符。它指定了显示对话框的监视器。如果只使用一个监视器,那么保留默认 参数“c”或者指定“1”。 注意 可以使用PASSLoginDialog函数来代替PWRTLogin 或者PWRTLogout函数。这个函数需要和函数PWRTLogin 相同的参数。PWRTLogin 和PASSLoginDialog函数可以调用登录界面,在上面可以登录或者注销。函数PWRTLogout被正确执行并且不调用登录界面。 正确执行后这两个函数都返回“1”。然而,登录不会等到显示对话框之后。 注意 如果使用多个显示器,为了能使登录对话框显示在合适的显示器上,需要WinCC Basic Process Control (OS项 目编辑器)。 不使用WinCC Basic Process Control 时,可以指定显示器编号,但登录对话框始终显示在第一个显示器上。

STEP7_v5.4与Wincc_Flexible2008的集成与仿真

STEP 7 v5.4 与 WinCC Flexible 2008的集成与仿真 By Herowuking,2009-8-31 1. 软件环境 STEP7 v5.4 SP4 Wincc Flexible 2008 说明: 网上有人说先安装STEP7 后安装WinCC Flexible就可以 把Wincc Flexible集成到 STEP7里面去,但是我安装完 STEP7 5.4之后安装了Wincc Flexible 2008,虽然可以在STEP7里面插入HMI站点,插入之后却不能够在STEP7里面编辑这个Wincc Flexible项目。所以,我采用的是另外一种方法。 2. 项目集成 STEP1.新建STEP7项目,编写好符号表和代码之后保存; 符号表(编写好之后在WINCC FLEXIBLE中建立变量很轻松了) 我这里编了一个最简单的启停控制做演示

STEP2.新建一个WINCC FLEXIBLE 项目; 【项目】→ 【新建…】→这里我选择了TP270 10”→保存项目; 可以保存到和STEP7相同的目录下,也可以保存在别的地方。这里我放在了STEP7项目目录下新建的一个文件夹中。 执行项目集成到STEP7里面去 【项目】→【在STEP7中集成…】→选择STEP1中新建的项目; 这个时候你再用STEP7打开原来的项目发现下面多出了一个HMI 站点; 这个时候,如果同时开着Wincc Flexible 2008,双击“变量”可以自动打开变量编辑界面。但是如果这个时候Wincc Flexible 没有打开,就会一闪下面的画面,而没有任何其他反应。估计还是STEP7 v5.4SP4和Wincc Flexible 2008集成的不够好吧。 暂且不管这软件上的问题,下一步开始执行STEP7和Wincc Flexible 的连接,实现Step 7的PLCSIM 仿真和Flexible 的仿真通讯。 注意:Wincc Flexible 2008打了SP1以及HotFix5 for SP1的补丁之后,不存在上述问题了。

如何把一个已经存在的 WinCC 项目集成到一个 STEP 7 项目中

返回列表 WinCC --

关于“装载和调试程序”的信息 如何把一个已经存在的WinCC 项目集成到一个STEP 7 项目中? 多重项目“Multiproject”功能对大型网络化的项目有哪些优势? 数据块初始值与实际值的含义 为什么项目、程序块或硬件组态根本无法打开,或者打开时出现错误信息,或是显示“只读”? WinCC -- 通信组态和编程-- S7通信(无PROFIBUS) 如何把一个已经存在的WinCC 项目集成到一个STEP 7 项目中? WinCC V5.0中可用插槽PLC吗? 使用MPI (CP5611)建立的WinCC与S7 之间的在线连接有哪些要求? 使用WinCC通过TCP/IP 通信需要哪种许可证? WinCC 访问S7-200 可使用哪些选项? 如何通过调制解调器建立到S7 的链接? 如何将WinCC 链接到S7 PLCSIM? 通过TS 适配器做WinCC 与S7 控制器的通讯,需要做哪些设置? 若要通过CP343-1 与S7 通讯,WinCC 系统需要哪些硬件和软件? 通过CP5611、CP5613 或CP1613,使用WinCC 和SIMATIC NET 访问S7 CPU 时,需要进行哪些设置?如何把一个已经存在的WinCC 项目集成到一个STEP 7 项目中? 显示订货号 配置说明

为了把一个 WinCC 项目导入一个STEP 7 项目中,必须安装下面的WinCC 组件:?SIMATIC Device Drivers ?Object Manager ?AS-OS Engineering 这些组件存在于WinCC 安装光盘中(图.01)。并且,WinCC 和STEP 7 必须安装在同一台计算机上。可以使用已经存在的归档WinCC 项目的压缩工具(packer)来把WinCC 项目导入到STEP 7项目中。 图. 01 注意 关于在不同版本的WinCC 中安装附加组件(以"Smart Tools"为例)的更多信息可以在条目:10880206中找到。 说明

在WINCC中使用WinSock控件进行TCP_IP通讯的例程

在WINCC中使用WinSock控件进行TCP/IP通讯的例程 目录 一、WinSock控件介绍(以VB语言表述) (1) 1、WinSock控件的主要属性 (1) 2、WinSock控件的主要方法 (2) 3、WinSock控件的主要事件 (3) 二、WinSock控件在WINCC中的使用 (3) 1、WinSock控件注册 (3) 2、在WinCC中添加WinSock控件 (4) 三、服务器端程序介绍 (4) 四、WinCC画面模板与结构变量的配合使用 (6) 1、结构变量定义 (6) 2、画面模板组态 (7) 3、调用画面模板及修改变量前缀 (7) 4、将画面模板中的对象连接到变量 (8) 五、建立TCP/IP连接 (8) 六、接收数据包的处理 (10) 附:在VBS中进行数据处理的局限性及变通解决方法 (12)

摘要: 关键词:VB、VBS、WINCC、WINSOCK、DLL、UNICODE、ANSI、ASCII、画面模板、结构变量、数据转换。 该文档的软件环境: Microsoft Windows XP Professional 版本2002SP3 SIMA TIC WinCC V6.2 SP2 ASIA …SIMA TIC STEP7 V5.4+SP5+HF3 Chinese TCP&UDP测试工具V1.02 目的: 使用WINCC用户程序作为客户端程序,与服务器通讯,通讯协议为标准TCP/IP协议,取得服务器发送过来的数据包,按数据包格式文本规定,解析数据包数据,并将相关数据显示在用户程序画面中。 一、WinSock控件介绍(以VB语言表述) 1、WinSock控件的主要属性 1) Protocol属性 通过Protocol属性可以设置WinSock控件连接远程计算机使用的协议。可选的协议是TCP和UDP对应的VB的常量分别是sckTCPProtocol和sckUDPProtocol,Winsock控件默认协议是TCP。 注意:虽然可以在运行时设置协议,但必须在连接未建立或断开连接后。 2) SocketHandle属性 SocketHandle返回当前socket连接的句柄,这是只读属性。 3) RemoteHostIP属性 RemoteHostIP属性返回远程计算机的IP地址。在客户端,当使用了控件的Connect 方法后,远程计算机的IP地址就赋给了RemoteHostIP属性,而在服务器端,当ConnectRequest 事件后,远程计算机(客户端)的IP地址就赋给了这个属性。如果使用的是UDP协议那么当DataArrival事件后,发送UDP报文的计算机的IP才赋给了这个属性。 4) ByteReceived属性

WINCC与STEP7地通信

WinCC与Step7-300通讯 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC 中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建 一个项目名为zx的SIMATIC 300 Station。如图4-1所示。

在STEP里面创建WINCC项目完整版

在S T E P里面创建W I N C C项目集团标准化办公室:[VV986T-J682P28-JP266L8-68PNN]

一、从WinCC里调用STEP 7 变量的前提条件从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\。要使用WinCC与STEP 7的集成功能,WinCC 和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,在communitation 下的所有选项都必须钩选。二、在Simatic Manager里建立新的WinCC 项目在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC项目名称。B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP 7 项目文件夹里的wincproj文件夹下,例如:d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\Name of the OS C. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路径下。项目复制器位于开始菜单项"Start > SIMATIC > WinCC > Tools"。注意:对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具(Packer)来归档WinCC项目。四、把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 STEP项目文件里的符号表,共享DB里的变量可以一次性的传入继承的WinCC项目文件。具体步骤如下: A、为需要传输的变量打上“传输标志” 在需要传输的变量上点击右键,从关联菜单中选择“Special Object Properties \ Operator Control and Monitoring…”,打开Operator Control and Monitoring对话框,激活复选框“Operator Control and Monitoring”,并点击“OK”关闭对话框。此时该变量前将显示绿色小旗如需对成批变量进行该操作,可以使用“Shift+鼠标左键”进行批选择,或者使用“Ctrl +鼠标左键”对成批选择的变量进行个别增减。为共享DB的变量做注释在需要传输的变量上点击右键,从关联菜单中选择“Object Properties”,打开Properties 对话框,在对话框第一行的Attribute 中输入 S7_m_c ,Value 为ture,并点击“OK”键退出。此时被选中变量前被用红色小旗标志. 为背景DB的变量做注释打开相应的FB,在需要传输的变量上点击右键,从关联菜单中选择“Object Properties”,打开Properties 对话框在Attribute页第一行输入 S7_m_c ,Value 为ture并点击“OK”键退出开启共享和背景DB的操作和监视功能 DB里的变量被标志后,必须启动DB的“Operater control and monitor”功能才能启动变量传输。 在simatic maneger 窗口中右击所需传送变量的DB,在弹出的菜单中选择 special object- >operetor control and monitoring,在接下来的对话框中将Operater control and monitor复选框钩上。选中该复选框后,“WinCC Attributes”页被显示,其中列举了所有被标准过的变量。 B、将被标志的变量传送到WinCC项目文件中在SIMATIC Manager的菜单中选中选项“Options\OS\Compling…”在弹出的对话框中直接点next 直到开始编译。编译完成后,弹出integration2对话框,选择第一项,并用“OK”键确认。编译完成后系统会提示编译是否成功,如果失败会弹出相应的记录文件。编译成功后,打开WinCC项目文件。可以看到,系统已经在变量管理器里自动生成了相应的WinCC变量。在WinCC里需要变量引用的位置进行变量选择,出现变量选择对话框后,选中对话框右上角的“STEP 7 Symbol Server”复选框。此时,对话框右侧变量选择列表中将显示“STEP 7 Symbol Server”列表。从改列表中可以显示STEP 7符号表和共享数据块里的所有变量

WinCC技巧复习课程

W i n C C技巧

在 WinCC 中如何在一个画面中显示不同的趋势图? 显示订货号 描述 在同一个 WinCC 在线趋势控件中,通过不同的按钮来选择显示不同测量点的曲线,有两种方法。 ?选择 1: 用脚本分配变量 ?选择 2: 画中画技术 两种方法都是在 "Trend_Function_Main.PDL", "Trend_Function_1.PDL" 和 "Trend_Function_2.PDL" 画面中实现的。这几个画面可以在例子中找到。 准备: 变量“testvar1”和“testvar2”分别显示在两个在线控件 Trend 1 和 Trend 2 中。 No.步骤 1 在变量记录中,新建过程值归档“TestArchive”。 2 在归档中建立相应的变量归档(testvar1 and testvar2)。 Fig. 01 选择1:WinCC V7.0 中脚本分配变量

Set objCon1 = HMIRuntime.ActiveScreen.ScreenItems("PictureWindow1") 'configuration objCon1.Visible = 1 objCon1.PictureName= "Trend_Function_1.PDL" 7 现在可以通过 Picture Tree Manager 将画面“Trend_Function_Main.PDL”合并到画面图 层。 例子: 为了在项目中可以使用这些图片,必须按照前面“准备”中提到方式建立归档变量“testvar1”和“testvar2”。此外,将下面三个 PDL 文件拷贝到项目文件夹下的“GraCS”中。 (...Siemens\WinCC\WinCCProjects\). 此例是在 WinCC V.0.中建立的。 ZIP 文件中包含了三个 PDL 文件(WinCC 画面)和两个 TXT 文件(VBS and C 脚本)。 如何使用 C 或 VBS 脚本通过新变量提供 WinCC 在线趋势控件的单个趋势? 显示订货号 说明: 例如,在初始条件下,过程值归档 "TestArchive" 中的变量 "testvar1" 被分配给趋势控件的曲线1(图01)。

wincc调用PLC变量

从WinCC里调用STEP7的变量WinCC get the variables in the STEP7

摘要 从WinCC里调用STEP7中的变量,该变量可以是符号表,DB块,FB块参数变量等。 关键词 变量表,DB块,WinCC,STEP7,集成 Key Words Symbols, DB block, WinCC, STEP7, Integrated A&D Service & Support Page 2-20

目录 从WinCC里调用STEP7的变量 (1) 1.从WinCC里调用STEP 7 变量的前提条件 (4) 2.在Simatic Manager里建立新的WinCC项目 (5) 3.把现成的WinCC项目集成到STEP 7 项目中 (6) 4.把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 (7) 5.在WinCC里直接调用STEP 7符号表或DB块里的变量 (17) A&D Service & Support Page 3-20

该文档的软件环境: Windows XP Professional SP1 English WinCC V6.0 SP2 HF2 Europe STEP 7 V5.3 SP1 1.从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率减少一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须兼容。WinCC与STEP 7的版本兼容性列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件: 图 1. 与集成相关的WinCC组件 A&D Service & Support Page 4-20

基于Step7和WinccFlexible联合仿真教程

基于Step7和WinccFlexible联合仿真教程目录 0 项目要求:..................................................................... .............................................. 2 1 项目分析与规 划: .................................................................... .................................... 2 2 系统IO口分配:..................................................................... ..................................... 2 3 系统接线原理 图: .................................................................... .................................... 2 4 系统控制方式规划:..................................................................... .. (2) 5 系统硬件选择与组态...................................................................... . (3) 6 PLC程序设计...................................................................... ........................................ 19 7 触摸屏通讯设置、画面设计与变量控制....................................................................... 25 8 项目仿真测 试 .....................................................................

wincc与step7仿真通讯设置

wincc与step7仿真通讯设 置 liudaxian2000 |2018-06-30 | 2.1分(高于81.78%的文档)|4235|142 |简介|举报手机打开 共享文档 一、MPI网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(MPI),WINCC选MPI (Wincc)――>PLCSIM(MPI)。 2、先在STEP7V5·4软件编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项, 点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序, 选····S7···的,然后在MPI新建连接,在MPI上点击右键――>系统参数――>单元――>在逻辑设备项选择“PLCSIM(MPI)”。 6、新建变量并编辑画面,然后保存运行。 二、TCP/IP网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(RFC 1006),WINCC 选CP_I2_1:――>PLCSIM(RFC 1006)。

2、先在STEP7V5·4软件组态硬件(要有CP343-1并填入IP地址)并编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项,点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序,选····S7···的,然后在TCP/IP新建连接(记得填入STEP7组态时的IP 地址),更改插槽号为2。在TCP/IP上点击右键――>系统参数――>单元――>在逻辑设备项选择“CP_I2_1:”。 6、新建变量并编辑画面,然后保存运行。 三、PROFIBUS网的仿真 1、PG/PC接口选择:STEP7选择S7ONINE(STEP7)――>PLCSIM (PROFIBUS),WINCC选CP_I2_1:――>PLCSIM(PROFIBUS)。 2、WINCC中在PROFIBUS新建连接,然后进入PROFIBUS的系统参数――>单元――>逻辑设备名称选:PLCSIM(PROFIBUS)。 3、其它和MPI及TCP/IP的仿真一样。 *PLCSIM 版本5.4

wincc集成到step7中

1.从WinCC里调用STEP 7变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率减少一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须兼容。WinCC 与STEP 7的版本兼容性列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件: 图 1. 与集成相关的WinCC组件 2.在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件。

图 2. 插入OS站 你可以在OS站上点击右键,选择“Rename”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目。 图 3. 改名和打开WinCC项目 3.把现成的WinCC项目集成到STEP 7项目中 如果你在一台计算机上已经安装了兼容的WinCC和STEP 7,并且有了一个单独使用的WinCC项目,想把它集成到一个已有的STEP 7项目中去。

相关文档
最新文档