vhdl作业-四位数值比较器

vhdl作业-四位数值比较器
vhdl作业-四位数值比较器

综合出电路:

波形图:

一位数值比较器

LIBRARY IEEE;

USE IEEE.std_logic_1164.all;

ENTITY com_1 IS

port(a,b:in std_logic;

q:out std_logic_vector (2 downto 0)); end entity;

architecture behave of com_1 is

begin

process(a,b)

begin

if(a=b)then

q<="010";

elsif(a>b)then

q<="100";

else

q<="001";

end if;

end process;

end architecture;

四位数值比较器

LIBRARY IEEE;

USE IEEE.std_logic_1164.all;

entity com_4 is

port(a,b:in std_logic_vector(3 downto 0);

q:out std_logic_vector(2 downto 0));

end entity;

architecture struct of com_4 is

component com_1 is

port(a,b:in std_logic;

q:out std_logic_vector(2 downto 0));

end component;

signal q0,q1,q2,q3:std_logic_vector(2 downto 0);

begin

u0:com_1 port map(a(0),b(0),q0);

u1:com_1 port map(a(1),b(1),q1);

u2:com_1 port map(a(2),b(2),q2);

u3:com_1 port map(a(3),b(3),q3);

process(q0,q1,q2,q3)is

begin

if(q3/="010") then

q<=q3;

elsif(q2/="010") then

q<=q2;

elsif(q1/="010") then

q<=q1;

elsif(q0/="010") then

q<=q0;

else

q<="010";

end if;

end process;

end architecture;

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

4位数值比较器设计

电了技术课程设计报告题目: 4 位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1课程设计的任务 采用Multisim 12.0 软件实现4位数值比较器的设计与仿真。 1.2课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74LS85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A(与B3B2B1B(进行比较。从A的最高位A3和 B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3则再比较次高位A2=B2余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+F A3=B3FA2=B2FA仁B1FA0=B0IA>B (2-1) FAB、IAB、IAvB、IA=B进行适当处理,IA>B=IA

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

Candence课程设计——2位数值比较器

集成电路设计论文 论文题目:2位数值比较器 姓名:陈英文 学号:1020630126 学院:机械与电子工程学院专业:电子科学与技术 班级:10206301 指导教师:蔡老师

一、课程实验设计目的 本次课程设计把重点放在电路的设计、制作和仿真上,熟悉在UNIX系统下Candencce软件的使用,掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(A

逻辑符号示意框图: Y(A>B) Y(A=B) Y(AB)Y(A=B)Y(A

2.3 逻辑图如下所示: 3. 2位数值比较器 3.1 定义:比较两个2 位二进制数的大小的电路 3.2 基础:2位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。 3.3 输入:两个2位二进制数A=A1 A0 、B=B1 B0

4位数值比较器设计

电子技术课程设计报告题目: 4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1)FAB、IAB、IAB=IA

4位输入数据的一般数值比较器电路设计

课程设计报告 课程名称数字逻辑课程设计 课题4位输入数据的一般数值的比较 电路的设计 专业计算机科学与技术 班级计算机1202 学号

姓名周逢露 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计 课题4位输入数据的一般数值 比较电路的设计 专业班级计算机科学与技术

学生姓名周逢露 学号201203010202 指导老师刘洞波陈淑红陈多 审批刘洞波 任务书下达日期:2013年12月13日任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1EDA技术与VHDL程序 开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22416-72010TP312VH/36 2VHDL电路设计雷伏容清华大学出版 社 7-302-14226-22006TN702/185 3VHDL 电路设计技术王道宪贺名臣 刘伟 国防工业出版 社 7-118-03352-92004TN702/62 4VHDL 实用技术潘松,王国栋7-810657-81065-290-72000TP312VH/1 5VHDL 语言100 例详解北京理工大学 ASIC研究所 7-9006257-900625-02-X1999TP312VH/3 6VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9200073.9621/W38V

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

4位数值比较器

X X大学课程设计 题目 4位数值比较器 学院 X学院 专业 XXX 班级 XXX 学生 XXX 学号 200000000 指导教师 XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1 前言.................................................................. ................ .. (3) 1.1CMOS组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 24位数比较器 (7) 2.1 原理框图 (7) 2.2 逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.2 4位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

模拟cmos集成电路-2位数值比较器

WORD格式模拟CMOS集成电路课程设计 题目:二位数值比较器 专业:电子科学与技术 班级: 学号: 姓名: 指导老师: 完成时间:2014年月日

目录 一.设计目标 二.一位数值比较器的设计 三.二位数值比较器的设计 四.原理图的绘制及电路的仿真 五.实验电路的版图设计 六.实验心得

一.设计目标 本次课程设计把重点放在电路的设计、制作和仿真,以及版图的设计。熟悉在UNIX系统下Cadence软件的使用, 掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(AB)Y(A=B)Y(A

10100 11010 3.根真值表可写出逻辑函数表达式为4逻辑图如下所示:

三.二位数值比较器的设计 1.二位数值比较器 二位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。为了减少符号的种类,不再使用字 母L,而以(Ai>Bi)、(AiB1)=0和(A1

4位数值比较器

X X大学课程设计 题目4位数值比较器 学院X学院 专业XXX 班级XXX 学生XXX 学号200000000 指导教师XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1前言.................................................................. ................ .. (3) 1.1C M O S组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 2 4位数比较器 (7) 2.1 原理框图 (7) 2.2逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.24位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

四位数值比较器

EDA技术课程大作业 设计题目:四位数值比较器 院系:电子信息与电气工程系 学生姓 学号:200902070035 专业班级:09电子信息工程(升) 2010年12月9日

四位数值比较器 1. 设计背景和设计方案 1.1设计背景 在数字电路中,比较器的逻辑功能是用来对两输入端口送来的数据进行比较操作,然后将比较的结果送到输出端口上。通常,比较器对两个输入数据进行比较可以得到三种基本的比较结果:大于、小于和等于。当然,比较器也可以得到不大于、不小于和不等于等结果,而这几种结果可以由三种基本的比较结果进行取反运算即可。 1.2设计方案 四位数值比较器是数字电路中应用得最广泛的一种比较器。一般来说,四位数值比较器的实体模块如图1-2-1所示,与其对应的真值表如表1-2-1所示。比较器含有两个4位位矢量输入端口A和B,3个比较结果输出端口GT、EQ、LT,以及3个级联输入端口I1、I2、I3。其中级联输入端口的作用是用来进行芯片的级联操作。通过这些端口可以对比较器的功能进行扩展。 图1-2-1 四位比较器实体模块 表1-2-1 四位比较器的真值表 2. 方案实施

2.1四位数值比较器的设计 1、设计思路文字描述 根据实体模块和真值表可知,设计两组四位数据输入端口,三个级联输入端口,三个数据比较结果输出端口。 2、程序 library ieee; use ieee.std_logic_1164.all; entity comparator is port(A0,A1,A2,A3: in std_logic; B0,B1,B2,B3: in std_logic; I1,I2,I3: in std_logic; GT,EQ,LT: out std_logic); end comparator; architecture one of comparator is signal A_tmp,B_tmp:std_logic_vector(3 downto 0); begin A_tmp <= A3&A2&A1&A0; B_tmp <= B3&B2&B1&B0; process(A_tmp,B_tmp,I1,I2,I3) begin if(A_tmp > B_tmp) then GT <='1'; EQ <='0'; LT <='0'; elsif(A_tmp < B_tmp) then GT <='0'; EQ <='0'; LT <='1'; elsif(A_tmp = B_tmp) then if(I2='1') then GT <='0'; EQ <='1'; LT <='0'; elsif(I1='1') then GT <='1'; EQ <='0'; LT <='0';

4位数值比较器设计

电子技术课程设计报告题目:4位数值比较器设计 学生: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制

2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2 FA0>B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1) FA

IA>B 、IAB 、IAB=IAB ;红灯亮表示A

4位数值比较器设计

电子技术课程设计报告 题目:4位数值比较器设计 学生: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B 2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1) FA

2FA1=B2FA0B 、IAB 、IAB=IAB ;红灯亮表示A

4位数值比较器设计

电子技术课程设计报告题目: 4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1、课程设计的任务与要求 1、1 课程设计的任务 采用Multisim 12、0软件实现4位数值比较器的设计与仿真。 1、2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2、四位数值比较器设计方案制定 2、1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3与B的最高位B3进行比较,如果她们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

verilog 4位比较器

具体功能是:A和B进行比较,假若A>B,则AD输出高电平,A

数字电路二位数值比较器

数字电子技术基础 课程设计报告书 题目:2位数值比较器 姓名: 班级: 指导教师: 设计时间:2011年3月— 7月 民族大学数学与计算机学院 一、背景和编写目的 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器,比如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 本次设计的目的就是通过实践掌握数字电路的分析方法和设计方法,了解了解EDA技术和maxplus2软件并掌握VHDL硬件描述语言的设计方法和思想。以数字电子技术基础为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对比较器的设计,巩固和综合运用所学知识,提高分析、解决计算机技术实际问题的独立工作能力。 比较器有2位数比较器,4位数比较器,8位数比较器等多种。本课程设计就是两位数比较器,可以实现2位二进制数值的比较。 二、EDA和VHDL的介绍 EDA技术 EDA技术的概念 EDA是电子设计自动化(E1echonics Des5p AM?toM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异。从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。 EDA技术的特点

八选一数据选择器和四位数据比较器(verilog实验报告)

Verilog HDV 数字设计与综合实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026

一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a; 3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT;

option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=1;S2=1; end endmodule 三、四位数据比较器 3.1主程序 module fourcompare(a,b,c); input[3:0] a,b; output [1:0] c; reg[1:0] c; always@(a or b) begin if(a>b) c=2'd2; else if(a

4063 CMOS 四位数字比较器

CAUTION: These devices are sensitive to electrostatic discharge; follow proper IC Handling Procedures.CD4063BMS CMOS 4-Bit Magnitude Comparator Pinout CD4063BMS TOP VIEW Functional Diagram 14151691312111012345768 B3 (A < B) IN (A = B) IN (A > B) IN (A > B) OUT (A = B) OUT VSS (A < B) OUT VDD B2A2A1B1A0B0 A3A > B A = B A < B A > B A = B A < B CASCADING INPUTS WORD A 4 WORD B 4 Features ?High Voltage Type (20V Rating) ?Expansion to 8, 12, 16 . . . 4N Bits by Cascading Units ?Medium Speed Operation -Compares Two 4-Bit Words in 250ns (Typ.) at 10V ?100% Tested for Quiescent Current at 20V ?Standardized Symmetrical Output Characteristics ?5V, 10V and 15V Parametric Ratings ?Maximum Input Current of 1μA at 18V Over Full Pack-age Temperature Range; 100nA at 18V and +25o C ?Noise Margin (Full Package Temperature Range)-1V at VDD = 5V -2V at VDD = 10V - 2.5V at VDD = 15V ?Meets All Requirements of JEDEC Tentative Standard No. 13B, “Standard Speci?cations for Description of ‘B’ Series CMOS Devices” Applications ?Servo Motor Controls ?Process Controllers Description CD4063BMS is a 4-bit magnitude comparator designed for use in computer and logic applications that require the comparison of two 4-bit words. This logic circuit determines whether one 4-bit word (Binary or BCD) is “less than”, “equal to”, or “greater than” a second 4-bit word. The CD4063BMS has eight comparing inputs (A3, B3, through A0, B0), three outputs (A < B, A = B, A > B) and three cascading inputs (A < B, A = B, A > B) that permit systems designers to expand the comparator function to 8, 12, 16 . . . 4N bits. When a single CD4063BMS is used, the cascading inputs are connected as follows: (A < B) = low, (A = B) = high, (A > B) = low. For words longer than 4 bits, CD4063BMS devices may be cas-caded by connecting the outputs of the less signi?cant compara-tor to the corresponding cascading inputs of the more signi?cant comparator. Cascading inputs (A < B, A = B, and A > B) on the least signi?cant comparator are connected to a low, a high, and a low level, respectively. The CD4063BMS is supplied in these 16 lead outline pack-ages: Braze Seal DIP H4T Frit Seal DIP H1E Ceramic Flatpack H6W December 1992 File Number 3318

相关文档
最新文档