基于CPLD的三相多波形函数发生器资设计资料

基于CPLD的三相多波形函数发生器资设计资料
基于CPLD的三相多波形函数发生器资设计资料

摘要

直接数字频率合成(Direct Digital Synthesis,DDS)是20世纪60年代末出现的第三代频率合成技术,该技术从相位概念出发,以时域采样定理为基础,在时域中进行频率合成,它以可编程逻辑器件(CPLD)作为控制及数据处理的核心,可将波形数据用D/A转换器快速恢复。基于CPLD和DDS技术的函数发生器可以实现信号波形的多样化,同时大大提高输出信号的带宽。

整个设计采用MAX+ plus II开发平台,VHDL编程实现,基于可编程逻辑器件CPLD设计多波形信号发生器。用VHDL编程实现,其设计过程简单,极易修改,可移植性强。系统以CPLD为核心,采用直接数字合成技术,辅以必要的模拟电路,构成一个波形稳定,精度较高的函数信号发生器。系统的特色在于除晶体振荡器和A/D转换外,全部集成在一片CPLD芯片上,使系统大大简化。它可输出频率、幅度可调的正弦波、三角波、方波。另外由于CPLD具有可编程重置特性,因而可以方便地更换波形数据,且简单易行,带来极大方便。

关键词:信号发生器设计;三相;VHDL;CPLD;MAX+ plus II

ABSTRACT

Direct digital frequency synthesize(DDFS) is a recently and rapidly developed technology which features high frequency resolution.This paper briefly introduces the basic principle of DDS. The basic principle and performance of CPLD chip.Then it mainly describes how to use CPLD chip to design a function generator of high accuracy.The principle of three-phase multi-signal generator based on CPLD and DDS technology is introduced.Based on these,the modules of CPLD design are given.The multi-wave signal generator is designed based on program-mable logical component CPLD.The VHDL programming realization and the MAX+ plus II development platform. Besides the crystal oscillator and the A/D transformation,the entire system completely integrates on the CPLD chip.The multi-wave signal generator may output the sine-wave,the triangle-wave,the square-wave.Then downloaded under the situation which the entire system hardware connects do not change,and finally output the special profile which user needs.The multi-wave signal generator generates wave which the conventional function signal generators can’t make.Moreover because of the programmable reset feature of the CPLD,the generator can change the wave data conveniently and practice easily.The whole design realizes by the VHDL programmer.Its design process has simple feature,easy modification and high transportation.

Keywords:Signal Generator Design;Three-phase;VHDL;CPLD;MAX+ plus II

目录

1 引言 (1)

2基于CPLD的三相多波形函数发生器设计 (3)

2.1波形发生器系统的设计方法及其技术指标 (3)

2.1.1设计方式概述 (3)

2.1.2三相函数多波形发生器技术指标 (5)

2.1.3三相波形发生器设计方法概述 (5)

2.2设计方案 (6)

2.2.1三相函数发生器设计原理 (6)

2.2.2多波形发生器的各个波形模块设计方式简介 (9)

2.3调试部分 (12)

2.3.1CPLD在使用中遇到的问题 (12)

2.3.2控制电路的调试 (13)

2.3.3DAC电路的调试 (13)

2.3.4程序的调试 (13)

2.3.5硬件电路的调试 (13)

结论 (15)

参考文献 (16)

附录1三相多波形函数发生器各模块的程序 (17)

附录2元件介绍 (23)

1DAC0832 (23)

2LM324 (24)

3PM7128SLC84-15芯片 (25)

附录3电路原理图 (26)

附录4英文资料及译文 (27)

1英文资料 (27)

2英文译文 (36)

致谢 (43)

1引言

现代电子技术的核心技术是EDA(Electronic Design Automation)。EDA技术就是依赖强大的电子计算机在EDA开发平台上,对硬件描述语言HDL(Hardware Description Language)系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。

EDA使得电子技术领域各学科的界限更加模糊,更加护为包容:模拟与数字、软件与硬件、系统与器件、行为与结构、ASIC(Application Specific Integrated Circuit,专用集成电路)与FPGA(Field Programmable Gate Array)等。

EDA技术在21世纪得到的很大进步,例如更大规模的FPGA和CPLD(Complex Programmable Logic Device)器件的不断推出;软硬件IP核(Intellectual Property)在电子行业的产业领域、技术领域和设计应用领域得到进一步的确认;系统级、行为验证级硬件描述语言(System C)的出现,使复杂电子系统和验证趋于简单。

硬件描述语言VHDL[全名是VHSIC(Very High Speed Integrated Circuit) Hardware Description Language]是EDA技术的重要组成部分,由美国国防部发起创建,由IEEE (The Institute of Electrical and Electronics Engineers)进一步发展并发布,是硬件描述语言的业界标准之一。

VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。

VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,VHDL支持各种模式的设计方法:自顶向下与自顶向上或混合方法,在面对当今电子产品生命周期缩短,需要多次重新设计以融入最新技术、改变工艺等方面,VHDL具有良好的适应性。

向器件作编程或适配习惯上叫做下载,这要通过下载软件平台或者下载电缆实现。这是设计过程中的重要步骤,可以利用MAX+PLUSⅡ软件在计算机上完成设计并下载到目标器件中。EDA工具软件大致可以分为5个模块:设计输入编辑器,仿真器,HDL综合器,适配器,下载器等。

Direct Digital Synthesis(DDS)是20世纪60年代末出现的第三代频率合成技术。该技术从相位概念出发,以时域采样定理为基础,在时域中进行频率合成,它以可编程逻辑器件(CPLD)作为控制及数据处理的核心,将存于Flash ROM的波形数据用D/A

转换器快速恢复。DDS频率转换速度快,频率分辨率高,并在频率转换时可保持相位的连续,因而易于实现多种调制功能。DDS是全数字化技术,其幅度、相位、频率均可实现程控,并可通过更换波形数据灵活实现任意波形。此外,DDS易于单片集成,体积小,价格低,功耗小,因此DDS技术近年来得到了飞速发展,其应用也越来越广泛。基于CPLD和DDS技术的函数发生器可以实现信号波形的多样化,而且方便可靠,简单经济,系统易于扩展,同时可大大提高输出信号的带宽。

CPLD为连续式互连结构,器件引脚到内部逻辑单元,以及各逻辑单元之间,是通过全局互连总线中的多路选择器或交叉矩阵选通构成信号通路。其主要特点是内部时间延时与器件逻辑结构等无关,各模块之间提供了具有固定时延的快速互连通道,因此可以预测时间延时,容易消除竞争冒险等现象,便于各种逻辑电路设计。

本文的DDS系统以CPLD为核心,采用直接数字合成技术,辅以必要的模拟电路,构成一个波形稳定,精度较高的函数信号发生器。本系统的特色在于CPLD中集成了大部分电路,使系统大大简化,除输出所需的正弦波、方波、三角波,还可进行波形存储,三种波形之间的相位差均为120°,是三相的CPLD系统。

DDS的理论依据是奈奎斯特抽样定理。根据该定理,对于一个周期正弦波连续信号,可以沿其相位轴方向,以等量的相位间隔对其进行相位/幅度抽样,得到一个周期性的正弦信号的离散相位的幅度序列,并且对模拟幅度进行量化,量化后的幅值采用相应的二进制数据编码。这样就把一个周期的正弦波连续信号转换成为一系列离散的二进制数字量,然后通过一定的手段固化在只读存储器ROM 中,每个存储单元的地址即是相位取样地址,存储单元的内容是已经量化了的正弦波幅值。这样的一个只读存储器就构成了一个与2π周期内相位取样相对应的正弦函数表,因它存储的是一个周期的正弦波波形幅值,因此又称其为正弦波形存储器。此时,正弦波形信号的相位与时间成线性关系。根据这一基本关系,在一定频率的时钟信号作用下,通过一个线性的计数时序发生器所产生的取样地址对已得到的正弦波波形存储器进行扫描,进而周期性地读取波形存储器中的数据,其输出通过数模转换器及低通滤波器就可以合成一个完整的、具有一定频率的正弦波信号。DDS电路一般包括系统时钟、相位累加器、相位调制器、ROM 查找表、D/A转换器和低通滤波器(LPF)。

基于CPLD和DDS技术的函数发生器可以实现信号波形的多样化,而且方便可靠,简单经济,系统易于扩展,同时可大大提高输出信号的带宽。

2基于CPLD的三相多波形函数发生器设计

2.1波形发生器系统的设计方法及其技术指标

2.1.1设计方式概述

在电子工程设计与测试中,常常需要一些具有特殊要求的信号,要求其波形产生多,频率方便可调。通常的信号产生器难以满足要求,市场上出售的任意信号产生器价格昂贵。在老师指导下,结合实际要求,我设计了一种基于CPLD的三相多波形函数发生器,能输出正弦波、三角波、方波等波形信号,波形信号之间的相位差均为120?。

复杂可编程逻辑器件CPLD器件可以代替许多分立元器件,从而大大降低了电路板的复杂程度。对于CPLD器件的设计一般可以分为设计输入、设计实现和器件编程三个设计步骤以及相应的功能仿真、时序仿真和器件测试三个设计验证过程。

设计输入有多种方式,目前最常用的有电路图和硬件描述语言两种,对于简单的设计,可采用原理图的方式设计,对于复杂的设计可使用原理图或硬件描述语言(Verilog, AHDL, VHDL语言),或者两者混用,采用层次化设计方法,分模块层次地进行描述。

原理图设计方法主要是按照数字系统的功能采用具体的逻辑器件组合来实现的把这些由具体器件实现逻辑功能的电路图输入到软件当中。这种设计方法比较直观。

硬件描述语言设计方法主要把数字系统的逻辑功能用硬件语言来描述,采用VHDL语言描述的数字系统大致有三种;其一称为行为描述,它用几个包含着若干顺序语句的进程描述输入与输出之间的转换关系;其二是数据流描述,用一系列的并发信号赋值语句描述输入与输出之间的关系;其三为结构描述方式,是通过元件之间的互联关系描述输出电路的结构。无论是原理图输入还是描述语言输入都各有其优点,原理图设计适合于对器件比较熟悉,元件之间的互联清楚,并且需要的设计比较简单的情况,而对于器件不熟悉,设计复杂的情况来说,使用硬件描述语言要方便一些。

设计实现:设计实现是指从设计输入文件到熔丝图文件(CPLD)的编译过程。在该过程中,编译软件自动地对设计文件进行综合、优化,并针对所选中的器件进行映射、布局、布线、产生相应的熔丝图或位流数据文件,在此过程中,由于编译软件给系统管脚的分配是比较杂乱的,为了电路板布线的方便,用户可以对输入/输出管脚进行管脚锁定。

器件编程:器件编程就是将熔丝图文件或位流数据文件下载到相应的CPLD器件

中,并与CPLD器件的管脚相对应,所用的软件是ALTARE公司提供的CPLDDN4,这是ALTARE公司CPLD系列的专用下载软件。

设计校验:对应于设计输入、设计实现和器件编程,设计验证分为了功能仿真、时序仿真、器件测试三个部分。功能仿真验证设计的逻辑功能,在设计输入过程中,对部分功能或整个设计均可进行仿真;时序仿真是在设计实现以后,针对器件的布局、布线方案进行时延仿真,分析定时关系:器件测试是在器件编程后,通过实验或借助测试工具,测试器件最终的功能和性能指标。

在设计中系统的接口电路、信号源的大多数逻辑控制都在CPLD中实现。

在该流程中仿真是重点。仿真又可分为功能仿真(前仿真)与时序仿真(后仿真)。根据电路设计编制仿真文件,在文件被综合前进行仿真,可验证电路功能;在保证电路已实现设计的功能后,进行综合并对综合的结果进行时序仿真,可验证电路的时序是否满足要求。当电路的前仿真与后仿真都满足要求,则电路的设计是成功的。然后设置器件类型并进行引脚锁定,再对文件进行适合于所加配置的逻辑综合;通过后即完成设计。最后结合系统中的其它部分进行连调,如发现问题可修改设计。

本设计中使用了ALTERA公司提供的配套软件MAX+PLUSII进行文件的输入、编译和下载。MAX+PLUSII的软件设计主要由设计输入、项目编译、项目校验和器件编程等四部分构成。

图2.1-1 CPLD设计流程图

图2.1-2 MUS+PLUSⅡ设计的主要组成部分

2.1.2三相函数多波形发生器技术指标

三种波形之间的相位差均为120°,可以同时输出,具有三相的功能。对以下三种波形的频率均要求:产生的频率都可以预置;输出的信号幅值能在100mv~3V的范围内调整。

对正弦波信号的要求为:信号频率范围:20Hz-20kHz之间可调,步长为10Hz;非线性失真系数≤3%。

对方波信号的要求是:信号频率范围:20Hz-20kHz;上升和下降时间<1μs;

对三角波信号的要求为:信号频率范围:20Hz-20kHz之间可调。

2.1.3三相波形发生器设计方法概述

Direct Digital Synthesis(DDS)是20世纪60年代末出现的第三代频率合成技术。用DDS技术来设计制作一个基于CPLD的三相多波形函数发生器,并使它能输出正弦波、三角波、方波等波形信号源,三种波形的相位差为120?。

波形发生器中的CPLD芯片是DDS的控制及数据处理的核心,电路系统主要由时钟信号发生器、波形数据产生器和A/D转换电路组成。波形数据产生器由数控分频器、三角波产生模块、正弦波产生模块、方波产生模块等构成。在时钟信号发生器作用下,波形数据产生器生成频率可变的波形数据数字信号,由 A/D转换电路放大处理后输出最终所需要的波形信号。

各个模块的实现主要采用MAX+ plus II开发平台,由VHDL编程实现。先完成外围电路的焊接之后,用CPLD的专属下载软件把编写完的程序烧录入CPLD芯片,

再对整个波形发生器进行调试,使其达到预期的效果。

2.2设计方案

2.2.1三相函数发生器设计原理

DDS制作的三相波形发生器主要由标准参考频率源、相位累加器、波形存储器、数模转换器、低通平滑滤波器构成。在时钟脉冲的控制下,频率控制字K由相位累加器得到相应的相码,相码寻址波形存储器进行相码——幅码变换输出不同的幅度编码,再经过数模变换器得到相应的阶梯波,最后经低通滤波器对阶梯波进行平滑,即得到由频率控制字决定的连续变化的输出波形。其中,参考频率源一般是一个高稳定的晶体振荡器,其输出信号用于DDS中各部件同步工作。因此,DDS输出的合成信号的频率稳定度与晶体振荡器是一样的。

DDS系统核心是N位相位累加器。相位累加器的结构一般N位字长的二进制加法器与一个由时钟触发的N位二进制相位累加寄存器级联构成,加法器的一个输入端与相位寄存器的输出端相连,另一个输入端是外部的频率控制字K。在每一个参考时钟脉冲输入时,把频率字累加一次,并把相加后的结果送至累加寄存器的数据输出端。由于相位累加器的输出连接在波形存储器的地址线上。因此其输出的改变就相当于进行查表。系统中的参考时钟通常是一个高稳定性的晶体振动器,用来作为系统时钟同步整个系统的各组成部分。在系统时钟脉冲的作用下,相位累加器不停地累加.也即不停地查表,不停地把波形重新合成出来,当相位累加器累加满时就会产生一次溢出,完成一个周期性的动作,这个周期就是DDS合成信号的一个频率周期,累加器的溢出频率就是DDS输出的信号频率。。滤波器则进一步平滑D/A转换器输出的近似所需波形的锯齿阶梯波.同时滤出不必要的杂波。

图2.2-1 DDS的原理图

图2.2-2相位累加器的结构示意图

若频率控制字设为M,相位累加器为N位,参考时钟频率fс,则输出频率为Mfс/2a(a=N)。DDS的输出频率下限对应于频率控制字M=0的情形,即输出频率为f。=0。根据Nyquist采样定理,DDS的输出上限频率为时钟频率的一半,即f。=?fс。但由于实际输出低通滤波器的非理想特性,可实现的频率上限为-2/5fo。即若参考频率为,DDS的输出频率范围是0—2/5fc。由于DDS的模块化结构,其输出波形由波形查找表中的数据来决定,因此,只需改变查找表中的数据,即可以方便地利用DDS 产生出正弦波之外的其他波形,如余弦波、方波、三角波。锯齿波甚至调频、调相波以及带限的噪声信号。

三相分时复用原理:分时复用的原理是各路信号只占用同一信道的不同时间间隙进行信号传输。具体到本电路就是利用对正弦表寻址的高速度,使一个正弦表在不同时间段查寻不同相的正弦波的幅值,以达到减少正弦表所占用的CPLD资源的目的。电路的具体实现:三路在相位上互差120。的地址数据并行输入通过一个三选一的选择器来进行选择,选择器的控制端接三进制的计数器。如,计数器为0时,输出的是A相的地址。计数器为1时,输出的是B相的地址.计数器为2时,输出的是C相的地址。因此只要使输入的三相地址周期性变化,就实现了并行输入的三相地址数据在时间上形成了连续,也就实现了三相地址数据的合成。这样就可以利用一个正弦表来得到三相的正弦值,把正弦表减少到没有采样分时复用时的1/3。数据的分离:通过分时复用,使得通过查寻一个正弦表得到在相位上互差的三相正弦波的幅值,但是由于输入的三相地址在时间上是连续的,即对ROM表寻址的地址只有一路,因此,虽然得到了三相正弦波的幅值,可是他们是按ADDRESS中各相之间的关系混合在一起的,因此,必需对所得到的幅值进行分离。才能得到三相正弦波。由波形ADDRESS 中各相地址的相互关系可知,分离数据只要把分时复用的合成部分反接即可。

参数选择:相位累加器的字长决定了频率分辨率.设计中取N=16。由于CPLD 中硬件资源(主要是存储器EAB容量)所限,需对相位累加器输出的16位相位进行截断,这里取16位相位的前l0位进入相位,幅度转换电路,即A=10,输出数字幅度序列定位10位(D=10)。

图2.2-3 正弦波波形数据产生模块

这样确定的基本参数如下:

(1)时钟频率和输出带宽根据已知的外部时钟源的频率fс=12MHz.那么本系统最高输出频率位2/5fo=4.8 MHz。

(2)频率范围由于要求输出的信号频率范围比较大.因此在12MHz时钟源引入CPLD后,在相位累加器之前增加了一个时钟分频器,可实现10、100、1000、10000次分频。根据输出信号的频率和精度要求,选择不同的分频比将50MHz时钟频率降低,再作位相位累加器和波形产生电路的参考时钟。

相位/幅度变换用CPLD实现相位/幅度变换电路是设计的一个难点。根据DDS

原理,将不同波形的量化数据存储于波形查找表中,即可完成多波形发生的功能。ROM 的功能在本方案选用的Altera公司生产的CPLD芯片中实现,因此在实际设计中,要充分考虑表格数据的优化问题。根据上面的参数选择,取相位累加器字长M=16,截取前10位有效位,输出幅度序列定位l0位。这样直接实现正弦波形存储需要

210xl0bitROM。正弦查找表可调用Maxplux2软件中的“lpm_rom”宏模块实现。

CPLD器件选择ALTERA公司的——EPM7128S84-15。它有128个宏单元、2500个等效逻辑门、15ns的速度、PLCC84封装形式。除电源引脚、地线引脚、全局控制引脚和JTAG引脚外,共提供了64个可用I/O脚,这些引脚可以任意配置为输入、输出和双向方式。该器件的特点如下:

●是一种高性能的CM0SE EPROM器件。

●器件可通过JTAG接口实现在线编程。

●内置 JTAG BST电路。

●可编程宏单元触发器具有专用清除、置位、时钟和时钟使能控制。

●可配置的扩展乘积项分配,允许向每个宏单元提供多达32个乘积项。

EPM7128S器件是通过4个引脚的JTAG接口进行在线编程(ISP)的。ISP允许快速、有效地在设计开发过程中重复编程。JTAG(Joint Test Action Group)是欧洲的JETAG 组织提出的边界扫描标准,即IEEE1149. 1标准。该标准提供了板级和芯片级的测试,所有JTAG测试功能仅需一条四线或五线的接口及相应的软件即能完成,利用JTAG

能测试电路板的连接情况以及电路板的正确性。JTAG的接口信号为:

●TCK (Test Clock):用于控制状态机及传递数据。

●TMS( Test Mode Select):选择边界扫描模式,控制状态机测试操作。

●DI ( Test Data Input):在TCK的一升沿,接受串行数据。

●TDO( Test Data Output):在TCK的下降沿,输出串行数据。

我们采用的是并口下载电缆ByteBlaster,它可以对MAX7000S系列进行在线编程。该下载电缆具有以下几个部分:与PC机并行口相连的25针插头、与PCB板插座相连的10针插头以及25针到10针的变换电路。可用于实现波形相位、幅度变换查找表(ROM)结构。DDS主要分为相位累加器、相位/幅度转换单元(含ROM)、数模变换器以及低通滤波等几个部分。

相位累加器和相位/幅度转换单元用CPLD实现,再将输出外接至DAC器件,最后通过低通滤波器即可得到所需波形。

图2.2-4 CPLD的外围电路连接图

2.2.2多波形发生器的各个波形模块设计方式简介

数控分频器:数控分频器的功能是在输入端输入不同数据时,对输入时钟产生不同的分频比,输出不同频率的时钟,以改变输出信号的频率。设计时利用并行预置数的减法计数器实现,他的工作原理是:减法计数器在并行预置数的基础上,在时钟的作用下进行减计数,当计数值为零时产生溢出信号,加载预置数据,并且将溢出信号作为分频器的输出信号,实现分频信号输出。其分频系数N为预置数的值。为了得到占空比为50 的矩形时钟信号,将输出再进行二分频。由此,该分频器的总分频系数为2N。

三角波波形数据产生模块:该模块可设计一个可逆计数器实现。设计时设置一变量作为工作状态标志,在此变量为全0时,当检测到时钟的上升沿时进行加同一个数

操作,为全1时,进行减同一个数操作。由于A/D转换采用8位的DAC0832芯片,且设64个时钟为一个三角波周期,则输出Q每次加8/减8。

正弦波波形数据产生模块:用加法计数器和译码电路完成。首先对幅度为1的正弦波的一个周期分为64个采样点,根据正弦波的函数关系计算得到每一点对应的幅度值,然后量化为8位二进制数据,最大值为255,最小值为0,以此得到正弦波波表。加法计数器生成译码电路的64个输入值,译码电路查波表输出。无论是相位累加器波形合成法还是基于RAM查询的波形合成法,都可以表示为合成信号的幅度与时间或是相位的关系,信号的幅度坐标存放在波形存储器中,通过地址发生器读取波形数据,完成数字波形。

方波波形数据产生模块:设计一个比较器,经过低通滤波器后的正弦波通过它后才能产生方波。通过交替送出全0和全1,并给以32个时钟延时实现,64个时钟为一个周期。

数据选择器:用CASE语句设计完成。在CORTROL的控制下选择输出一种波形数据输出,同时完成两种波形的线性组合。波形组合是将波形每一时刻的数值相加,为了不超出DAC0832的输出范围,做相应的除2操作。

首先由控制寄存器将外部控制器送入的数据转换为频率和幅度控制字;然后再由分频器根据频率控制字进行分频并将输出作为寻址计数器的时钟;寻址计数器的寻址空间为360字节,可对ROM中的查找表进行寻址;而通过模360加法器可以产生120o的相位差。

控制寄存器的设计:控制寄存器设计主要是将外部控制器输入的数据转换为频率和幅度控制字。

分频比可变的分频器模块设计:该设计主要是根据频率控制字决定分频倍数,从而输出与频率控制字相对应的频率时钟,此模块的输出可作为寻址计数器的时钟。

寻址计数器:主要用于产生对ROM寻址输出波形数据的寻址信号,寻址空间为360字节。

模360加法器设计:此模块用来产生120°的相移,以形成三相相差为120°的输出波形。由于寻址空间为360字节,故在输出寻址数大于360时,须对360取模。

查找表ROM设计:此模块主要用于存储各种波形数据,以便通过寻址计数器寻址输出并经D/ A转换来输出各种波形,其中包括正弦波、三角波、方波。

CPLD幅度控制字经D/A转换输出后,可作为查找表输出DAC的参考电压,该参考电压可通过改变幅度控制字来进行改变,从而改变输出信号的幅度。

图2.2-5 CPLD的内部结构设计框图

A/D转换采用了8位的DAC0832芯片。波形的产生是采用直接数字频率合成技术DDFS按照不同频率要求以频率控制字n为步进对相位增量进行累加,以累加相位值作为地址码读取存放于ROM内的波形数据,经D/A转换和幅度控制,再滤波即可得到波形。输出波形频率与寻址脉冲频率成正比,因此改变脉冲频率就可以改变输出波形频率。D/A转换电路的电路连接图主要由DAC0832和LM324构成,附加了一些电容和电阻增加了其稳定性,它先通过DAC0832信号对信号进行数模转换,恢复为模拟信号后再由LM324构成的放大电路进行放大处理,使波形发生器达到预期的效果。

图2.2-6 DAC0832外围连接图

逻辑时序仿真:利用MAX+PLUXⅡ进行系统仿真。在时序波形中,clk为系统的时钟,这里设定仿真的时钟为200ns(晶振为12Mhz);freqin为频率字输入,它可以在1-1024取任意值,这里取freqin=l(即相位累加增量?θ=360? /1024=0.35?),则f。= 4.88kHz;pha-seina、phaseinb、phaseinc分别为三相互差120?的相位字的输入,取值分别为:phaseina=0、phaseinb=341、phaseinc=683;romadddr_a、romadddr_b、

ro-madddr c分别为三相分时复用电路中的A相、B相和C相的相位值(三相互差),romadddr_abc为合成一路后的相位值。

为了便于在同一波形中可以同时看清输出数据中的各项,对仿真波形进行截取,为约3.5us-8.6US时的时序波形,ddsout_abc为查得E弦表所得的三相混合数据,

ddsout_a、ddsout_b、ddsout_c分别为数据分离后A相、B相和C相的相位对应的幅度值,由三相正弦波幅度之间的相互关系和变化趋势得知.输出的数据是正确的。由于DDS技术是从相位概念出发,根据确定的相位的有效字长组合,会产生相位截断误差。本设计的相位误差计算:每相正弦信号输出延时了2个系统时钟周期,即

At=2Tc。因此相位误差为:?θ=2π*f。*?T,则?θ=0.7?。(这里设频率字M=1,字长N=10)。

整个系统除晶体振荡器和A/D转换外,全部集成在一片CPLD芯片上。它可输出三相频率、幅度可调的正弦波、三角波、方波。任意波形模块可由用户自行编辑所需波形数据,下载到CPLD芯片上,在不改变整个系统硬件连接的情况下,输出用户所需的波形。另外由于CPLD具有可编程重置特性,因而可以方便地更换波形数据,且简单易行,带来极大方便。

图2.2-7 波形仿真图

2.3调试部分

2.3.1 CPLD在使用中遇到的问题

CPLD芯片提供了很多个电源引脚,为了减少芯片内部的干扰,尽量在每个电源引脚处加一个退藕电容,一般一个芯片周围不少于6个电容。

CPLD如果不能下载,可能有多种问题,先检查芯片的各个接地脚和电源脚是否全部连接正确,然后看四个JTAG引脚是否连接正确,可在下载时观测四个引脚上的信号。

对于不使用的全局变量,最好连接到地线。在编译的时候可能会出现所用的门数不多,但是编译通不过的情况,可以把逻辑综合的选项重新设置一下。

在逻辑综合的时候最好选择设计环境中对于特定的芯片所提供的综合条件,以免造成不必要的麻烦。

2.3.2控制电路的调试

对控制电路进行时序仿真,在仿真图中几乎总会出现我们所不需要的毛刺,这些毛刺有时会给系统带来致命的影响,我们必须采取措施消除这样的毛刺。由于毛刺一般出现在信号发生电平转换的时刻,也即输出信号的建立时间内,而在输出信号的保持时间内不大会出现。因此,若带有毛刺的信号持续时间较长,我们可在输出信号的持续时间内用一定宽度的高电平脉冲选通一个与门来获得该信号,此时毛刺自然已被消除。高电平脉冲可由软件控制锁存器来得到。若带有毛刺的信号保持时间较短,可利用D触发器的D输入端对毛刺不敏感的特点,在输出信号的保持时间内用触发器读取输出信号,此时毛刺自然也已被消除,触发器的时钟沿可由软件控制地址译码器来得到。

另外,在某些情况下,需要对信号进行一定的延时,以完成特定的功能。利用D触发器可在时钟的控制下对信号进行比较精确的延时,这种方法的最小延时是半个时钟周期。延时也是消除毛刺的手段之一。

2.3.3 DAC电路的调试

DAC电路将RAM查找表输出的离散的数字信号变换为连续的模拟信号。所选的芯片为DAC0832,它的分辨率为12bits,建立时间为1us,差分电流输出。输出电流在2-20mA内可调。由于电流无法直接测量,所以在DAC0832的输出端和地之间接有一个20欧的电阻,可将输出的电流转换为电压来测,过程如下:首先向DAC输入全1的数据,测得输出端11脚的电压为0.4V;12脚为0.04 V;再向DAC输入全0的数据,测得输出端管11脚的电压为0.04 V;12脚为0.4V;说明DAC电路工作正常。

2.3.4程序的调试

设计中使用了ALTERA公司提供的配套软件MAX+PLUSII进行文件的输入、编译和下载。MAX+PLUSII的软件设计主要由设计输入、项目编译、项目校验和器件编程等四部分构成。

MAX+PLUSII File NEW Text Editor File/.gdf /.scf 输入程序保存、编译调试程序编译、仿真、运行调试完成后用并口下载线下载程序至CPLD芯片完成烧入程序后硬件电路的调试修改、调试程序完成设计。

2.3.5硬件电路的调试

在硬件电路调试中,要注意焊接的艺术和元件的布局,让整体显得美观。不能出

现漏焊、错焊等现象。在烧录入程序之后,对电路进行测试,看电路是否能达到预期的功能。如果不能,则要进行程序的调试,并检测电路连接、元件使用等方面的问题,努力排除故障,让系统功能实现。

结论

论文中基于CPLD的波形信号发生器方面进行了设计,建立了包含三角波、正弦波、方波等三类信号的波形库,为波形的选择提供了较好的操作平台;把DDS技术与CPLD技术相结合来设计三相波形发生器,使三种信号的输出相位差均为120o。对电路数字部分完成了分步功能仿真和波形仿真,努力改善数字波形发生器的基带信号带宽,实现电路的集成化,采用双RAM双通道使设计出的数字波形发生器有更大的适用范围。本设计能应用到教学和科研试验中。

在分析了DDS及CPLD技术的基础上,设计了一种基于CPLD的三相数字波形发生器。利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统分布,每一步都经过了严格的波形仿真验证,以确保功能正常。

本文结合任意波形发生器的发展状况,对直接数字波形合成技术的理论、设计方法、电路实现以及信号的调理电路进行了深入的研究,归纳起来主要做了如下几方面的工作:1、采用CPLD自行设计了直接数字合成技术芯片,通过了实验验证;2、设计了内调幅电路;3、设计调试信号调理电路;4、编写了实验机主程序,波形数据输出程序。

从整体上看来,仪器所实现的指标基本上满足课题要求,但同时也存在着不足和需要进一步改进的工作,主要体现在:1、调频及任意波频率电路需要进一步改进,采用高位的锁相环器件;2、软件和硬件电路设计工作没有全部完成;3、由于技术限制,希望以后能使波形输出频率上一个更高台阶。

但是,也存在着某些不足。比如:当时由于开发周期和技术水平等原因,DDS 技术均采用了国外成型的集成芯片,不仅代价高,而且许多性能没有充分利用,调幅波性能也不够高。

通过毕业课题设计,掌握了直接波形合成技术的原理及设计要领,学习了并掌握可编程逻辑器件电路的设计,掌握了MAX+PLUSII软件、CPLD元件等的应用,受益匪浅,为我今后的工作和学习奠定了坚实的基础。

参考文献

[1]沈明山.EDA技术及可编程器件应用时训[M].北京:科学出版社.2003

[2]付慧生.复杂可编程逻辑器件与应用设计[M].北京:中国矿业大学出版社.2003

[3]李国洪,沈明山等.可编程器件EDA技术与实践[M].北京:机械工业出版社.2004

[4]陈赜.CPLD/FPGA与ASIC设计实践教程[M].北京:科学出版社.2004

[5]蔡明生.电子设计[M].北京:高等教育出版社.2003

[6]李东升.电子设计自动化与IC设计[M].北京:高等教育出版社.2004

[7]李洋.EDA技术实用教程[M].北京:机械工业出版社.2005

[8]张秀娟,陈新华等.EDA设计与仿真实践[M].北京:机械工业出版社.2004

[9]尹常永.EDA技术与数字系统设计[M].陕西:西安电子科技大学出版社.2003

[10]潭会生,张昌凡等.EDA技术与应用(第二版)[M].陕西:西安电子科技大学出版社.2004

[11]王祖强.电子实际自动化(EDA)技术实验教程[M].山东:山东大学出版社.2003

[12]朱正伟.EDA技术及应用[M].北京:清华大学出版社.2004

[13]刘艳萍,高振斌等.EDA实用技术及应用[M].北京:国防工业出版社.2005

[14]焦素敏.EDA应用技术[M].北京:清华大学出版社.2004

[15]潘松,黄继业等.EDA技术与VHDL[M].北京:清华大学出版社.2003

[16]孔冬莲.基于CPLD的函数信号发生器[J].湖北鄂州.沙祥高等师范专科学院学报. 2006,(5).15-18

[17]郭海青.基于CPLD的多波形函数信号发生器设计[J].现代电子技术.2006,(17).70-72

[18]Wu Tao,Wang Jian Hua et al.Application study of DSP and CPLD technology on the star sensor[J].Journal of Harbin Institute of Technology(New Series),Vo1.13,No.3,2006.289-293

[19]任绪科,赵俊渭等. 基于CPLD和单片机的任意波形发生器设计[J].电子产品世界.2005,(1).118-119

[20]尹佳喜,尹仕.CPLD的三相多波形函数发生器设计[J].国外电子元器件.2006,(4).23-25

多波形函数信号发生器方案

个人资料整理仅限学习使用中文摘要

英文摘要

目录 1 引言.......................................................... - 1 - 2函数信号发生器设计要求及过程.................................. - 2 - 2.1函数信号发生器设计要求 (2) 2.2函数信号发生器电路设计的基本原理 (2) 2.3运算放大器的介绍 (3) 2.3.1迟滞电压比较器......................................... - 3 - 2.3.2 积分电路.............................................. - 5 - 2.4差分放大器的介绍 (6) 3总体电路设计 (7) 3.1方波—三角波产生电路的设计 (7) 3.2三角波—正弦波变换电路的设计 (11) 4.1EWB软件的简介 (15) 4.1.1 EWB软件的概述........................................ - 15 - 4.1.2 EWB软件的基本操作方法................................ - 15 - 4.2函数信号发生器的仿真过程及结果 (16) 4.2.1使用EWB对电路进行设计和实验仿真的基本步骤............. -16 - 4.2.2方波—三角波信号发生器电路的装调及仿真结果............ - 16 - 4.2.3三角波—正弦波变换电路的装调和仿真.................... - 17 - 结论........................................................... - 20 - 参考文献

函数信号发生器设计方案

函数信号发生器的设 计与制作 目录 一.设计任务概述 二.方案论证与比较 三.系统工作原理与分析 四.函数信号发生器各组成部分的工作原理 五.元器件清单 六.总结 七.参考文献

函数信号发生器的设计与制 一.设计任务概述 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 二、方案论证与比较 2.1·系统功能分析 本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案: 2.2·方案论证 方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。 方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率相信都很难控制。 方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300K 方案四:采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于1-10Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 三、系统工作原理与分析 采用由集成运算放大器与场效应管共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过场效应管正弦波转换电路形成正弦波,波形转换原理图如下:

模拟电路课程设计-函数信号发生器

模拟电路课程设计——函数信号发生器 一、设计任务和要求 1 在给定的±12V直流电源电压条件下,使用运算放大器设计并制作一个函 数信号发生器。 2 信号频率:1kHz~10kHz 3 输出电压:方波:Vp-p≤24V 三角波:Vp-p≤6V 正弦波: Vp-p>1V 4 方波:上升和下降时间:≤10ms 5 三角波失真度:≤2% 6 正弦波失真度:≤5% 二、设计方案论证 1.信号产生电路 〖方案一〗 由文氏电桥产生正弦振荡,然后通过比较器得到方波,方波积分可得三角波。三角波 这一方案为一开环电路,结构简单,产生的正弦波和方波的波形失真较小。但是对于三角波的产生则有一定的麻烦,因为题目要求有10倍的频率覆盖系数,然而对于积分器的输入输出关系为: 显然对于10倍的频率变化会有积分时间dt的10倍变化从而导致输出电压振幅的10倍变化。而这是电路所不希望的。幅度稳定性难以达到要求。而且通过仿真实验会发现积分器极易产生失调。 〖方案二〗 由积分器和比较器同时产生三角波和方波。其中比较器起电子开关的作用,将恒定的正、负极性的 方波 三角波 电位交替地反馈积分器去积分而得到三角波。该电路的优点是十分明显的: 1 线性良好、稳定性好;

2 频率易调,在几个数量级的频带范围内,可以方便地连续地改变频率, 而且频率改变时,幅度恒定不变; 3 不存在如文氏电桥那样的过渡过程,接通电源后会立即产生稳定的波 形; 4 三角波和方波在半周期内是时间的线性函数,易于变换其他波形。 综合上述分析,我们采用了第二种方案来产生信号。下面将分析讨论对生成的三角波和方波变换为正弦波的方法。 2.信号变换电路 三角波变为正弦波的方法有多种,但总的看来可以分为两类:一种是通过滤波器进行“频域”处理,另一种则是通过非线性元件或电路作折线近似变换“时域”处理。具体有以下几种方案: 〖方案一〗 采用米勒积分法。设三角波的峰值为,三角波的傅立叶级数展开: 通过线性积分后: 显见滤波式的优点是不太受输入三角波电平变动的影响,其缺点是输出正弦波幅度会随频率一起变化(随频率的升高而衰减),这对于我们要求的10倍的频率覆盖系数是不合适的。另外我们在仿真时还发现,这种积分滤波电路存在这较明显的失调,这种失调使输出信号的直流电平不断向某一方向变化。 积分滤波法的失调图(Protel 99 SE SIM99仿真) 而且输出存在直流分量。 〖方案二〗 才用二极管-电阻转换网络折线逼近法。十分明显,用折线逼近正弦波时,如果增多折线的段数,则逼近的精度会增高,但是实际的二极管不是理想开关,存在导通阈值问题,故不可盲目的增加分段数;在所选的折线段数一定的情况下,转折电的位置的选择也影响逼近的精度。凭直观可以判知,在正弦波变化较快的区段,转折点应选择的密一些;而变化缓慢的区段应选的稀疏一些。 二极管-电阻网络折线逼近电路对于集成化来说是比较简单,但要采用分立元件打接则会用到数十个器件,而且为了达到较高的精度所有处于对称位置的电阻和

基于CPLD的三相多波形函数发生器毕业设计论文

摘要 直接数字频率合成(Direct Digital Synthesis,DDS)是20世纪60年代末出现的第三代频率合成技术,该技术从相位概念出发,以时域采样定理为基础,在时域中进行频率合成,它以可编程逻辑器件(CPLD)作为控制及数据处理的核心,可将波形数据用D/A转换器快速恢复。基于CPLD和DDS技术的函数发生器可以实现信号波形的多样化,同时大大提高输出信号的带宽。 整个设计采用MAX+ plus II开发平台,VHDL编程实现,基于可编程逻辑器件CPLD设计多波形信号发生器。用VHDL编程实现,其设计过程简单,极易修改,可移植性强。系统以CPLD为核心,采用直接数字合成技术,辅以必要的模拟电路,构成一个波形稳定,精度较高的函数信号发生器。系统的特色在于除晶体振荡器和A/D转换外,全部集成在一片CPLD芯片上,使系统大大简化。它可输出频率、幅度可调的正弦波、三角波、方波。另外由于CPLD具有可编程重置特性,因而可以方便地更换波形数据,且简单易行,带来极大方便。 关键词:信号发生器设计;三相;VHDL;CPLD;MAX+ plus II

ABSTRACT Direct digital frequency synthesize(DDFS) is a recently and rapidly developed technology which features high frequency resolution.This paper briefly introduces the basic principle of DDS. The basic principle and performance of CPLD chip.Then it mainly describes how to use CPLD chip to design a function generator of high accuracy.The principle of three-phase multi-signal generator based on CPLD and DDS technology is introduced.Based on these,the modules of CPLD design are given.The multi-wave signal generator is designed based on program-mable logical component CPLD.The VHDL programming realization and the MAX+ plus II development platform. Besides the crystal oscillator and the A/D transformation,the entire system completely integrates on the CPLD chip.The multi-wave signal generator may output the sine-wave,the triangle-wave,the square-wave.Then downloaded under the situation which the entire system hardware connects do not change,and finally output the special profile which user needs.The multi-wave signal generator generates wave which the conventional function signal generators can’t make.Moreover because of the programmable reset feature of the CPLD,the generator can change the wave data conveniently and practice easily.The whole design realizes by the VHDL programmer.Its design process has simple feature,easy modification and high transportation. Keywords:Signal Generator Design;Three-phase;VHDL;CPLD;MAX+ plus II

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

函数信号发生器的设计与制作

函数信号发生器的设计、和装配实习 一.设计制作要求: 掌握方波一三角波一正弦波函数发生器的设计方法和测试技术。学会由分立器件和集成电路组成的多级电子电路小系统的布线方法。掌握安装、焊接和调试电路的技能。掌握在装配过程中可能发生的故障进行维修的基本方法。 二.方波一三角波一正弦波函数发生器设计要求 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形。其电路中使用的器件可以是分立器件,也可以是集成电路(如单片集成电路函数发生器ICL8038)。本次电子工艺实习,主要介绍由集成运算放大器和晶体管差分放大器组成的方波一三角波一正弦波函数信号发生器的设计和制作方法。 产生正弦波、方波、三角波的方案有多 种: 1:如先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分 电路将方波变成三角波。 2:先产生三角波一方波,再将三 角波变成正弦波或将方波变成正弦波。 3 3:本次电路设计,则采用的图1函数发生器组成框图 是先产生方波一三角波,再将三角波变换成正弦波的电路设计方法。此钟方法的电路组成框图。如图1所示:可见,它主要由:电压比较器、积分器和差分放大器等三部分构成。 为了使大家能较快地进入设计和制做状态,节省时间,在此,重新复习电压比较器、积分器和差分放大器的基本构成和工作原理: ,并判所谓比较器,是一种用来比较输入信号v1和参考电压V REF 断出其中哪个大,在输出端显示出比较结果的电路。 在《电子技术基础》一书的9.4—非正弦波信号产生电路的9.4.1中,专门讲述了: A:单门限电压比较器、B:过零比较器 C:迟滞比较器的电路结构和工作原理。 一、单门限电压比较器 所谓单门限电压比较器,是指比较器的输入端只有一个门限电压。

函数信号发生器课程设计

一绪论 1.1函数信号发生器的应用意义 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件也可以是集成电路。为进一步掌握电路的基本理论及实验调试技术,本课题采用有集成运算放大器与晶体差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法。具体方法是由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 通过此次设计,我们能将理论知识很好的应用于实践,不仅巩固了书本上的理论知识,而且锻炼了我们独立查阅资料、设计电路、独立思考的能力 1.2设计任务 设计能产生方波、三角波、正弦波的函数信号发生器电路 1.3设计要求 1)输出各种波形工作频率范围:10—100Hz,100—1KHz,1K—10KHz。 2) 输出电压:正弦波U=3V , 三角波U=5V , 方波U=14V。 3) 波形特征:幅度连续可调,线性失真小。 4)选择电路方案,完成对确定方案电路的设计;计算电路元件参数与元件选择、并画出各部分原理图,阐述基本原理。 1.4设计方案 函数信号发生器是是由基础的非正弦信号发生电路和正弦波形发生电路组 合而成。由运算放大器单路及分立元件构成,方波——三角波——正弦波函数信号发生器一般基本组成框图如图1所示。 图1 函数信号发生器框图 1、方波—三角波—正弦波信号发生器电路有运算放大器及分立元件构成,其结构如图1所示。他利用比较器产生方波输出,方波通过积分产生三角波输出,三角波通过差分放大电路产生正弦波输出。

多波形函数信号发生器

多波形函数信号发生器

————————————————————————————————作者:————————————————————————————————日期:

电子课程设计 设计题目:多波型信号发生器 系部:信息工程学院 专业:电子信息工程 班级:1301班 学号:8 姓名:高旭 指导老师:陈亮

目录 一设计要求 (3) 二总体概要设计 (3) 三各单元模块设计与分析······························································································4 3.1 正弦波发生 器 (4) 3.1.1 RC桥式振荡 器····························································································4 3.2方波转化电 路 (6) 3.2.1555定时 片································································································6 3.2.2由555芯片构成的施密特触发 器 (7) 3.2.3方波幅度调节电 路 (8) 3.3三角波转化电路 (8) 3.3.1RC无源积分器 (8) 3.3.2自举电路反相放大器················································································9 四总电路图 (10)

多波形信号发生器设计 电子技术课程设计

湖南文理学院课程设计报告 课程名称:电子技术课程设计 教学院部:电气与信息工程学院 专业班级:通信工程08101班 学生姓名:林洪湖(200816020143) 指导教师:邱德润 完成时间:2010 年6月25日 报告成绩:

目录 1.绪论 (3) 信号发生器现状 (3) 2.系统设计 (3) 控制芯片的选择 (4) 3.硬件电路的设计 (4) 3.1基本原理: (4) 3.2各部分电路原理 (8) 4.软件设计 (14) 4.1主程序流程图 (14) 4.2子程序流程图 (15) 5.测试结论 (18) 5.1软件仿真结果 (19) 5.2硬件测试结果 (21) 参考文献 (21)

多波形信号发生器设计 1.绪论 1.1信号发生器现状 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。 信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用555振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的RC很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 本次用要用到的有函数发生器5G8038、集成振荡器E1648、集成定时器555/556. 2.系统设计 2.1系统方案 方案:采用函数信号发生器5G8038集成模拟芯片,它是一种可以同时产生方波、三角波、正弦波的专用集成电路。但是这种模块产生的波形都不是纯净的波形,会寄生一些高次谐波分量,采用其他的措施虽可滤除一些,但不能完全滤除掉。

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

函数信号发生器的设计与实现

实验1 函数信号发生器的设计与实现 姓名:_ _____ 学号: 班内序号:____ 课题名称:函数信号发生器的设计 摘要:采用运算放大器组成的积分电路产生比较理想的方波-三角波,根 据所需振荡频率和对方波前后沿陡度、方波和三角波幅度的要求,选择运放、稳压管、限流电阻和电容。三角波-正弦波转换电路利用差分放大器传输特性曲线的非线性实现,选取合适的滑动变阻器来调节三角波的幅度和电路的对称性,同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词:方波三角波正弦波 一、设计任务要求 1.基本要求:

设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。 (1) 输出频率能在1-10KHz范围内连续可调,无明显失真。 (2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。 (3) 三角波Uopp=8V(误差小于20%)。 (4) 正弦波Uopp1V,无明显失真。 2.提高要求: (1) 输出方波占空比可调范围30%-70%。 (2) 自拟(三种输出波形的峰峰值Uopp均可在1V-10V内连续可调)。 二、设计思路和总体结构框图 总体结构框图: 设计思路: 由运放构成的比较器和反相积分器组成方波-三角波发生电路,三角波输入差分放大电路,利用其传输特性曲线的非线性实现三角波-正弦波的转换,从而电路可在三个输出端分别输出方波、三角波和正弦波,达到信号发生器实验的基本要求。 将输出端与地之间接入大阻值电位器,电位器的抽头处作为新的输出端,实现输出信号幅度的连续调节。利用二极管的单向导通性,将方波-三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。 三、分块电路和总体电路的设计过程 1.方波-三角波产生电路 电路图:

函数发生器 课程设计

函数发生器设计 摘要 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。本函数发生器采用STC89C52单片机作为控制核心,外围采用数字/模拟转换电路(DAC0832)、运放电路(uA741)、按键和LCD显示电路等。电路采用STC89C52单片机和一片DAC0832数模转换器组成数字式低频信号发生器。函数信号发生器,它具有价格低、性能高和在低频范围内稳定性好、操作方便、体积小、耗电少等特点。由于采用uA741运算放大器和滤波电路,使其电路更加具有较高的稳定性能,性能比高。此电路清晰,出现故障容易查找错误,操作简单、方便。 通过按键控制可产生方波、三角波、正弦波,同时用LCD1602显示|幅值和频率。所产生的波形Vp-p范围为0-5V。本系统设计简单、性能优良,具有一定的实用性。 关键词 STC89C52,DAC0832,uA741

目录 摘要 1 系统方案 (2) 1.1 信号发生部分 (2) 1.2 显示部分 (3) 2 系统设计 (3) 2.1 总体设计思路 (3) 2.2 总体框图 (3) 3 硬件电路 (4) 3.1 单片机电路 (4) 3.1.1 功能与基本原理 (4) 3.1.2资源分配 (5) 3.2 波形转换(D/A)电路 (5) 3.3 显示接口电路 (7) 3.4 键盘接口电路 (7) 3.5 电源电路 (8) 4 软件设计及流程 (9) 4.1 主程序流程图 (10) 4.2 幅值频率设定子程序流程图 (11) 4.3 显示子程序流程图 (12) 4.4中断子程序流程图 (12) 5.结束语 (14) 参考文献 (15) 附录 (16)

实验四 多种信号音及铃流信号发生器

学院:专业:班级:

图4—1 本实验系统传送信号流程图 4、数字信号的产生 在数字程控交换机中直接进行交换的是PCM数字信息,在这样的情况下如何使用户家收到信号音(如拨号音、回铃音、忙音等)是一个重要的问题。因为模拟信号产生的信号音是不能通过PCM交换系统的,这就要求设计一个数字信号发生器,使之能与交换网络输出这样一些PCM信息,这些数字信息经过非线性译码后能成为一个我们所需的模拟信号音。 )传统方式产生数字信号音

图4—3 450HZ正弦波信号一个周期取样示意图 我们对正弦信号再以每隔125us取样一次,并将取样所得的正弦信号幅度按照A规律十 图4—4 数字信号产生电流原理图 5、拨号音及控制电路 主叫用户摘机,CPU检测到该用户有摘机状态后,立即向该用户发出声音信号,表示可以拨号,当CPU中央处理单元收到第一个拨号脉冲后,立即切断该声音信号,该声音信号就叫拨号音。拨号音由上述数字信号产生,一旦一有用户摘机,交换网路把数字信号音送给该用户,经过TP3067的译码,提供给用户450hz的正弦波。

图4—5断续电路原理图 7、忙音及控制电路 忙音表示被叫用户处于忙状态,此时用户应该挂机,等一会在从新呼叫 本试验箱大于采用0、35秒断,0、35秒继续的400hz—450hz的方波信号,图4—6是该电路的原理图。 图4—6忙音控制电路的原理图。

图4—7铃流信号发生电路的原理图 上述四种信号在本实验系统中均有具体的电路实现,然而在程控交换机中,信号音还不止上述几种,在此做一简单介绍,不作实验要求。 1、数字程控交换原理实验箱 2、电话机 F=25hz,Vpp=2.0V

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

函数信号发生器设计报告

目录 1设计的目的及任务 1.1 课程设计的目的 1.2 课程设计的任务与要求 2函数信号发生器的总方案及原理图 2.1 电路设计原理框图 2.2 电路设计方案设计 3 各部分电路设计及选择 3.1 方波发生电路的工作原理 3.2 方波、三角波发生电路的选择 3.3三角波---正弦波转换电路的选择 3.4总电路图 4 电路仿真与调试 4.1 方波---三角波发生电路、三角波---正弦波转换电路的仿真与调试 4.2方波---三角波发生电路、三角波---正弦波转换电路的实验结果 5 PCB制版 6 设计总结 7仪器仪表明细清单 8 参考文献

1.课程设计的目的和设计的任务 1.1 设计目的 1.掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。 2.学会安装、调试与仿真由分立器件、调试与仿真由分立器件与集成电路组成的多级电子电路小系统。 2.2设计任务与要求: 设计一台波形信号发生器,具体要求如下: 1.输出波形:方波、三角波、正弦波。 2.频率范围:在1 Hz-10Hz,10 Hz -100 Hz,100 Hz -1000 Hz等三个波段。 3.频率控制方式:通过改变RC时间常数手控信号频率。 4.输出电压:方波U P-P≤24V,三角波U P-P =8V,正弦波U P-P >1V。 5.合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图。 6.选用常用的电器元件(说明电器元件选择过程和依据)。 7.画出设计的原理电路图,作出电路的仿真。 8.提交课程设计报告书一份,A3图纸两张,完成相应答辩。

2.函数发生器总方案及原理框图 图1-1 整体原理框图 2.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法。 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路的基本结构是比例放大器,对不同区段内比例系数的切换,是通过二级管网络来实现的。如输出信号的正半周内由D1~D3控制切换,负半周由D4~D6控制切换。电阻Rb1~Rb3与Ra1~Ra3分别组成分压器,控制着各二极管的动作电平。

模拟电子函数发生器课程设计报告

大学信息工程学院 题目:函数发生器的设计 课程:《模拟电子技术基础》 专业:电信工程 班级:电信0401 学号:041104101 姓名:鸿彬 完成日期:2006年11月 16 日

目录 1 函数发生器的总方案及原理框图 (1) 1.1 电路设计原理框图 (1) 1.2 电路设计方案设计 (1) 2设计的目的及任务 (2) 2.1 课程设计的目的 (2) 2.2 课程设计的任务与要求 (2) 2.3 课程设计的技术指标 (2) 3 各部分电路设计 (3) 3.1 方波发生电路的工作原理 (3) 3.2 方波---三角波转换电路的工作原理 (3) 3.3 三角波---正弦波转换电路的工作原理 (6) 3.4电路的参数选择及计算 (8) 3.5 总电路图 (10) 4 电路仿真 (11) 4.1 方波---三角波发生电路的仿真 (11) 4.2 三角波---正弦波转换电路的仿真 (12) 5电路的安装与调试 (13) 5.1 方波---三角波发生电路的安装与调试 (13)

5.2 三角波---正弦波转换电路的安装与调试 (13) 5.3 总电路的安装与调试 (13) 5.4 电路安装与调试中遇到的问题及分析解决方法 (13) 6电路的实验结果 (14) 6.1 方波---三角波发生电路的实验结果 (14) 6.2 三角波---正弦波转换电路的实验结果 (14) 6.3 实测电路波形、误差分析及改进方法 (15) 7 实验总结 (17) 8 仪器仪表明细清单 (18) 9 参考文献 (19)

1.函数发生器总方案及原理框图 1.1 原理框图 1.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件 (如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法。 产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法,本课题中函数发生器电路组成框图如下所示:

基于max038的信号发生器设计说明

一、课题名称:函数信号发生器 二、主要技术指标(或基本要求): 1)能精密地产生三角波、锯齿波、矩形波(含方波)、正弦波信号。 2)频率范围从0.1Hz~20MHz,最高可达40MHz,各种波形的输出幅度均为2V(P-P)。 3)占空比调节范围宽,占空比和频率均可单独调节,二者互不影响,占空比最大调节范围是 15%~85%。 4)波形失真小,正弦波失真度小于0.75%,占空比调节时非线性度低于2%。 5)采用±5V双电源供电,允许有5%变化范围,电源电流为80mA,典型功耗400mW,工作温 度范围为0~70℃。 6)内设2.5V电压基准,可利用该电压设定FADJ、DADJ的电压值,实现频率微调和占空比调 节。 7)低阻抗定压输出,输出电阻典型值0.1欧姆,具有输出过载/短路保护。 三、主要工作内容:方案设想,MAX038,OP07,电路原理等资料查询准备。电路原理图设 计绘制,面包板验证设计可行性。之后进行PCB板设计调整,电路板定制,元件采购;裸板 测试,焊接,整机测试。实验设计进行报告反馈 四、主要参考文献: [1]赵涛,辛灿华,姚西霞,陈晓娟,基于MAX038的多功能信号发生器的设计。《机电产品 与创新》 2008.07 [2]蒋金弟,朱永辉,毛培法。MAX038高频精密函数信号发生器原理及应用。《山西电子技 术》 2001 [3]黄庆彩,祖静,裴东兴.基于MAX038的函数信号发生器的设计[J].仪器仪表学报,2004,S1. [4]陈一新.单片高频函数发生器MAX038及其应用[J].中国仪器仪表,2002,04. [5]赵立民.电子技术实验教程[M].北京:机械工业出版社,2004

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

相关文档
最新文档