TMS320(ePWM use)

TMS320(ePWM use)
TMS320(ePWM use)

实验3 宏功能模块的应用

实验3 宏功能模块的应用 实验目的:熟悉Quartus Ⅱ的宏功能模块的应用。 实验工具:Quartus Ⅱ8.0 实验步骤: 工程设计步骤: 实验内容: 一、采用Quartus II 软件的宏功能模块lpm_counter 设计一个模为60的加法计数器,进行编译和仿真,查看仿真结果。 (1)原理图设计:如图 3-1-1 图3-1-1 模60加法计数器 (2)综合 模60加法计数器:如图 3-1-2 图 3-1-2 模60加法计数器综合报告 流动状态 软件版本 修复名称 顶层文件 器件系列 所有逻辑资源 所有寄存器 所有引脚 所有虚拟引脚 所有存储器 器件型号 时间模型

(3)功能仿真 模60加法计数器功能仿真波形图:如图3-1-3 图 3-1-3 模60加法计数器功能仿真 结论:图3-3宏功能模块中从上到下有以下几个引脚:异步清零端,时钟使能端,时 钟,同步置数端使能端,计数使能端,计数方向,置数输入端,输出端,进位输出端。 每个端口功能如图3-3解释,每个端口都实现了理论中的功能,所以功能仿真成功。 (4)时序仿真 模60加法计数器时序仿真波形图:如图3-1-4 图 3-1-4模60加法计数器时序仿真 结论:图3-4中时序仿真的引脚与图3-3相同,每个引脚实现的功能与图3-3相一致。 所不同的是在时序仿真中输出出现了微小的延时,并且输出之间出现了许多细小的毛刺,在进位输出端也出现了一个明显的毛刺。不过整体仿真的结果是正确的。 最大工作频率:310.37MHz 如图 3-1-5 图 3-1-5 最大工作频率

延时情况: 注:tsu(建立时间),th(保持时间),tco(时钟至输出延时),tpd(引脚至引脚延时)tpd(引脚至引脚延时) tsu(建立时间) tco(时钟至输出延时)

quartus宏功能模块介绍

----------------------------------------------------- RAM宏模块 宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口 FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom Quartus II开发软件中的宏模块--时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器 lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器 74174 带公共清零端的16进制D触发器 74174b 带公共清零端的16进制D触发器 74175 带公共时钟和清零端的4D触发器 74273 带异步清零端的8进制触发器

quartus宏功能模块

RAM宏模块 宏模块名称功能描述 csdpram 参数化循环共享双端口RAM lpm_ram_dp 参数化双端口RAM lpm_ram_dq 参数化RAM,输入/输出端分离 lpm_ram_io 参数化RAM,输入/输出端公用一个端口 FIFO宏模块 宏模块名称功能描述 csfifo 参数化循环共享FIFO dcfifo 参数化双时钟FIFO scfifo 参数化单时钟FIFO lpm_fifo 参数化单时钟FIFO lpm_fifo_dc 参数化双时钟FIFO ROM的设计 lpm_rom Quartus II开发软件中的宏模块--时序电路宏模块 触发器 宏模块名称功能描述 lpm_ff 参数化D或T触发器 lpm_dff 参数化D触发器和移位寄存器 lpm_tff 参数化T触发器 enadff 带使能端的D触发器 expdff 用扩展电路实现的D触发器 7470 带预置和清零端的与门JK触发器 7471 带预置端的JK触发器 7472 带预置和清零端的与门JK触发器 7473 带清零端的双JK触发器 7474 带异步预置和异步清零端的双D触发器 7476 带异步预置和异步清零端的双JK触发器 7478 带异步预置、公共清零和公共时钟端的双JK触发器 74107 带清零端的双JK触发器 74109 带预置和清零端的双JK触发器 74112 带预置和清零端的双JK时钟下降沿触发器 74113 带预置端的双JK时钟下降沿触发器 74114 带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器74171 带清零端的4D触发器 74172 带三态输出的多端口寄存器 74173 4位D型寄存器 74174 带公共清零端的16进制D触发器 74174b 带公共清零端的16进制D触发器

FPGA中两种对宏功能模块例化的方法

两种对宏功能模块例化的方法 ——Block Editor和MegaWizard Plug-In Manager 最近在用FPGA做历年的电赛的题目。由于我们第一个实验题目为“简易逻辑分析仪”,其中涉及到对波形采样的存储要用到ROM,这需要使用宏功能模块定制LPM_RAM,所以对宏功能模块例化进行了学习。下面针对DE0实验板就两个比较简单的例子做一个详细的说明。 在Block Editor中直接例化 实验:LPM_counter定制的4位计数器。 一、在已经建好的工程中新建一个block diagram/schematic File文件。 二、在block diagram中双击空白部分,在对话框中的Name中输入lpm_counter即得到如下的对话框;

三、单击OK出现lpm_counter的定制,依次单击next对以下对话框进行设置 选取V erilog HDL 语言和文件名

输出宽度为4位 使能以及进位设置

同步或是异步的清除、装载、置位 定制完后的预览,点击Finish 完成设计,即可以调入元件

四、完成剩下的原理图,如下: 五、绑定管脚,进行编译下载,完成实验。 使用MegaWizard Plug-In Manager调用宏功能 实验:正弦信号发生器 一、定制初始化数据文件(mif或者是hex文件,这里详细介绍mif) 建立MIF文件,单击OK

设置数据个数为64点数据位宽为8位。单击OK 在表格中填入64个地址分别对应的数值(位宽为8位)完成后保存 二、定制LPM_ROM元件 在Tools菜单中选择MegaWizard Plug-In Manager,产生如下对话框 分别有创建、编辑、拷贝等功能,单击Next

相关文档
最新文档