基于CPLD的三相多波形函数发生器的外文翻译资料

基于CPLD的三相多波形函数发生器的外文翻译资料
基于CPLD的三相多波形函数发生器的外文翻译资料

1英文资料

(From DIGITAL DESIGN principles & practices ,John F. Wakerly)

Language Overview

What is VHDL?

VHDL is a programming language that has been designed and optimized for describing the behavior of digital systems.

VHDL has many features appropriate for describing the behavior of electronic components ranging from simple logic gates to complete microprocessors and custom chips. Features of VHDL allow electrical aspects of circuit behavior (such as rise and fall times of signals, delays through gates, and functional operation) to be precisely described. The resulting VHDL simulation models can then be used as building blocks in larger circuits (using schematics, block diagrams or system-level VHDL descriptions) for the purpose of simulation.

VHDL is also a general-purpose programming language: just as high-level programming languages allow complex design concepts to be expressed as computer programs, VHDL allows the behavior of complex electronic circuits to be captured into a design system for automatic circuit synthesis or for system simulation. Like Pascal, C and C++, VHDL includes features useful for structured design techniques, and offers a rich set of control and data representation features. Unlike these other programming languages, VHDL provides features allowing concurrent events to be described. This is important because the hardware described using VHDL is inherently concurrent in its operation.

One of the most important applications of VHDL is to capture the performance specification for a circuit, in the form of what is commonly referred to as a test bench. Test benches are VHDL descriptions of circuit stimuli and corresponding expected outputs that verify the behavior of a circuit over time. Test benches should be an integral part of any VHDL project and should be created in tandem with other descriptions of the circuit.

A standard language

One of the most compelling reasons for you to become experienced with and knowledgeable in VHDL is its adoption as a standard in the electronic design community. Using a standard language such as VHDL virtually guarantees that you will not have to throw away and recapture design concepts simply because the design

entry method you have chosen is not supported in a newer generation of design tools. Using a standard language also means that you are more likely to be able to take advantage of the most up-to-date design tools and that you will have access to a knowledge base of thousands of other engineers, many of whom are solving problems similar to your own.

A brief history of VHDL

VHDL, which stands for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language, was developed in the early 1980s as a spin-off of a high-speed integrated circuit research project funded by the U.S. Department of Defense. During the VHSIC program, researchers were confronted with the daunting task of describing circuits of enormous scale (for their time) and of managing very large circuit design problems that involved multiple teams of engineers. With only gate-level design tools available, it soon became clear that better, more structured design methods and tools would be needed.

To meet this challenge, a team of engineers from three companies ?IBM, Texas Instruments and Intermetrics ?were contracted by the Department of Defense to complete the specification and implementation of a new, language-based design description method. The first publicly available version of VHDL, version 7.2, was released in 1985. In 1986, the Institute of Electrical and Electronics Engineers, Inc. (IEEE) was presented with a proposal to standardize the language, which it did in 1987 after substantial enhancements and modifications were made by a team of commercial, government and academic representatives. The resulting standard, IEEE 1076-1987, is the basis for virtually every simulation and synthesi product sold today. An enhanced and updated version of the language, IEEE 1076-1993, was released in 1994, and VHDL tool vendors have been responding by adding these new language features to their products.

Although IEEE Standard 1076 defines the complete VHDL language, there are aspects of the language that make it difficult to write completely portable design descriptions (descriptions that can be simulated identically using different vendors?tools). The problem stems from the fact that VHDL supports many abstract data types, but it does not address the simple problem of characterizing different signal strengths or commonly used simulation conditions such as unknowns and

high-impedance.

Soon after IEEE 1076-1987 was adopted, simulator companies began enhancing VHDL with new, non-standard types to allow their customers to accurately simulate complex electronic circuits. This caused problems because design descriptions entered into one simulator were often incompatible with other simulation environments. VHDL was quickly becoming a nonstandard.

To get around the problem of nonstandard data types, another standard was developed by an IEEE committee. This standard, numbered 1164, defines a standard package (a VHDL feature that allows commonly used declarations to be collected into an external library) containing definitions for a standard nine-valued data type. This standard data type is called std_logic, and the IEEE 1164 package is often referred to as the Standard Logic package.

The IEEE 1076-1987 and IEEE 1164 standards together form the complete VHDL standard in widest use today. (IEEE 1076-1993 is slowly working its way into the VHDL mainstream, but it does not add significant new features for synthesis users.)

Standard 1076.3(often called the Numeric Standard or Synthesis Standard) defines standard packages and interpretations for VHDL data types as they relate to actual hardware. This standard, which was released at the end of 1995, is intended to replace the many custom (nonstandard) packages that vendors of synthesis tools have created and distributed with their products.

IEEE Standard 1076.3 does for synthesis users what IEEE 1164 did for simulation users: increase the power of Standard 1076, while at the same time ensuring compatibility between different vendors?tools. The 1076.3 standard includes, among other things:

1) A documented hardware interpretation of values belonging to the bit and boolean types defined by IEEE Standard 1076, as well as interpretations of the

std_ulogic type defined by IEEE Standard 1164.

2) A function that provides "don&care" or "wild card" testing of values based on the std_ulogic type. This is of particular use for synthesis, since it is often helpful to express logic in terms of "don抰care" values.

3) Definitions for standard signed and unsigned arithmetic data types, along with arithmetic, shift, and type conversion operations for those types.

The annotation of timing information to a simulation model is an important aspect of accurate digital simulation. The VHDL 1076 standard describes a variety of

language features that can be used for timing annotation. However, it does not describe a standard method for expressing timing data outside of the timing model itself.

The ability to separate the behavioral description of a simulation model from the timing specifications is important for many reasons. One of the major strengths of Verilog HDL (VHDL抯closest rival) is the fact that Verilog HDL includes a feature specifically intended for timing annotation. This feature, the Standard Delay Format, or SDF, allows timing data to be expressed in a tabular form and included into the Verilog timing model at the time of simulation.

The IEEE 1076.4 standard, published by the IEEE in late 1995, adds this capability to VHDL as a standard package. A primary impetus behind this standard effort (which was dubbed VITAL, for VHDL Initiative Toward ASIC Libraries) was to make it easier for ASIC vendors and others to generate timing models applicable to both VHDL and Verilog HDL. For this reason, the underlying data formats of IEEE 1076.4 and Verilog SDF are quite similar.

When should you use VHDL?

Why choose to use VHDL for your design efforts? There are many likely reasons. If you ask most VHDL tool vendors this question, the first answer you will get is, "It will improve your productivity." But just what does this mean? Can you really expect to get your projects done faster using VHDL than by using your existing design methods?

The answer is yes, but probably not the first time you use it, and only if you apply VHDL in a structured manner. VHDL (like a structured software design language) is most beneficial when you use a structured, top-down approach to design. Real increases in productivity will come later, when you have climbed higher on the VHDL learning curve and have accumulated a library of reusable VHDL components.

Productivity increases will also occur when you begin to use VHDL to enhance communication between team members and when you take advantage of the more powerful tools for simulation and design verification that are available. In addition, VHDL allows you to design at a more abstract level. Instead of focusing on a

gate-level implementation, you can address the behavioral function of the design.

How will VHDL increase your productivity? By making it easy to build and use libraries of commonly-used VHDL modules. VHDL makes design reuse feel natural. As you discover the benefits of reusable code, you will soon find yourself thinking of

ways to write your VHDL statements in ways that make them general purpose. Writing portable code will become an automatic reflex.

Another important reason to use VHDL is the rapid pace of development in electronic design automation (EDA) tools and in target technologies. Using a standard language such as VHDL can greatly improve your chances of moving into more advanced tools (for example, from a basic low-cost simulator to a more advanced one) without having to re-enter your circuit descriptions. Your ability to retarget circuits to new types of device targets (for example, ASICs, FPGAs, and complex PLDs) will also be improved by using a standard design entry method.

Most of the VHDL features that are needed to support sequential-circuit design, in particular, processes, were already introduced in section 4.7 and were used in the VHDL sections in chapter 5. This section introduces just a couple more features and gives simple examples of how they are used .Larger examples appear in the VHDL sections of chapter

8. feedback sequential circuits

A VHDL process and the simulator‘s event-list mechanism for tracking signal changes form the sequential circuits may change state in response to input changes , and these state changes are manifested by changes propagating in a feedback loop until the feedback loop stabilizes. In simulation, this is manifested by the simulator putting signal changes on the event list and scheduling processes to return in ―delta time‖ and propagating these signal cha nges until to no more signal changes are scheduled.

Table 7-36 dataflow VHDL for an S-R latch.

Table 7-36 is a VHDL program for an S-R latch, the architecture contains two concurrent assignment statements. Each of which gives rise to a process, as discussed in section 4.7.9, these processes interact to create the simple latching behavior of a

S-R latch.

Port(s,R: in std_logic;

Q,QN: buffer std_logic);

End vsrlatch;

Architecture vsrlatch_arch of vsrlatch is

Begin

QN<=S nor Q;

Q<=R nor QN;

End vsrlatch_arch;

The VHDL simulation is faithful enough to handle the case where both S and R are asserted simultaneously. The most interesting result in simulation occurs if you negate S and R simultaneously. Recall from the box from the page 536 that a real S-R latch may oscillate or go into a metastable state in this simulation. The simulation will potentially loop forever as each execution of one assignment statement triggers another execution of the other. After some number of repetitions, a well-designed simulator will discover the problem----delta time keeps advancing while simulated time does not----and halt the simulation.

WHA T DO ?U‘ WANT

It would be nice if the S-R latch model in table 7-36 produced a ?u‘ output whenever S and R were negated simultaneously, but it‘s not that good. However, the languages is powerful enough that experienced VHDL designers can easily write a mod el with that behavior. Such a model would make use of VHDL‘s time-modeling facilities, which we haven‘t discussed, to model the latch‘s ―recovery time‖ (see box in page 537) and force a ?u‘ output if a second input change occurred too soon. It‘s even possible to model a maximum assumed metastability resolution time in this way.

Table 7-37 behavioral VHDL for a positive-edge-triggered D flip-flop

Mote that if a circuit has the possibility of entering a metatable state, there‘s no guarantee that the simulation will detect it, especially in larger designs. The best way to avoid metasbgability problems in a system design is to clearly identify and protect its asynchronous boundaries, as discussed in section clocked circuits

In practice, the majority of digital designs that are modeled using VHDL are clocked, synchronous systems using edge-triggered flip-flops. In addition to what we‘ve already learned about VHDL, there‘s just one more feature needed to describe edge-triggered behavior. The event attribute attached to a signal name to yield a value of type Boolean that is true if an event on the signal caused the encompassing process to run in the current simulation cycle, and false otherwise.

Using the event attribute, we can model the behavior of a positive-edge-triggered

D flip-flop with asynchronous clear as shown in table 7-37. here, the asynchronous clear input CLR overrides any behavior on the clock input CLK and is therefore

checked first, in the ―if‖ clause. If CLR is negated, then the ―elsif‖ clause is checked, and its statements are executed on the rising edge of CLK. Note that ―CL‘event‖ is true for any change on CLK, and ―CLK=‘1‘‖ is checked to limit triggering to just the rising edge of CLK. There are many other ways to construct processes or statements with edge-triggered behavior; table 7-38 shows two more ways to describe a D flip-flop ( without a CLR input ).

Table 7-38 two more ways to describe a positive-edge-triggered D flip-flop.

In the test bench for a clocked circuit, one of things you need to do is generate a system clock signal. This can be done quite easily with a loop inside a process, as shown in table 7-39 for a 100MHz clock with a 60% duty cycle.

*****SYNTHESIS STUFF*****

You may be wondering, how does a synthesis tool convert the edge-triggered behavior described in table 7-37 or 7-38 into an efficient flip-flop realization? Most Process

Wait until CLK‘event and CLK=‘1‘;

Q<=D;

End process; Q<=D when CLK‘event and CLK=‘1‘ els e Q; End vposdff; Architecture vposdff_arch of vposdff is Begin Process(CLK,CLR) Begin If CLR=‘1‘ then Q<=‘0‘; QN<=‘1‘; Elsif CLK‘event and CLK=‘1‘ then Q<=D; Qn<=not D; End if; End process;

End vposdff_arch;

tools recognize only a few predetermined ways of expressing edge-triggered behavior and map those into predetermined flip-flop components in the target technology.

The synopsis synthesis engine used in the xinlinx foundation series 1.5 software recognize the‖clk‘event and clk=‘1‘‖ expression that we use in this book. Even with that as a gi ven, VHDL has many different ways ashenden, author of the designer‘s guide to

VHDL (morgan Kaufmann, 1996) ran these statements and one other, with some modification, through several different synthesis tools. Only one of them was able to synthesize three out of the four forms, most could handle only two. So, you need to follow the method that is prescribed by the tool you use.

Table 7-39 clock process within a test bench.

reference

Architecture TB_arch of TB is

Signal MCLK: std_logic;

Signal…--declare other input and output signals

Process –clock generator

Begin

MCLK<=1;--start at 1 at time 0

Loop

MCLK<=0 after 6ns;

MCLK<=1 after 4ns;

End loop;

End process;

Process—generate the rest of the input stimuli, check outputs

Begin

The problem of metastability has been around for a long time. Greek philosophers wrote about the problem of indecision thousands of years ago. A group of modem philosophers named Devon sang about metastability in the title song of their Freedom of choice album. And the u.s. congress still can‘t decide how to ―save‖ social security.

Scan capability was first deployed in latches. Not flip-flop, in IBM IC designs decades ago. Edward J.McCluskey has a very good discussion of this and other scan methods in logic design principles (prentice hall, 1986).

1外文文献翻译原文及译文汇总

华北电力大学科技学院 毕业设计(论文)附件 外文文献翻译 学号:121912020115姓名:彭钰钊 所在系别:动力工程系专业班级:测控技术与仪器12K1指导教师:李冰 原文标题:Infrared Remote Control System Abstract 2016 年 4 月 19 日

红外遥控系统 摘要 红外数据通信技术是目前在世界范围内被广泛使用的一种无线连接技术,被众多的硬件和软件平台所支持。红外收发器产品具有成本低,小型化,传输速率快,点对点安全传输,不受电磁干扰等特点,可以实现信息在不同产品之间快速、方便、安全地交换与传送,在短距离无线传输方面拥有十分明显的优势。红外遥控收发系统的设计在具有很高的实用价值,目前红外收发器产品在可携式产品中的应用潜力很大。全世界约有1亿5千万台设备采用红外技术,在电子产品和工业设备、医疗设备等领域广泛使用。绝大多数笔记本电脑和手机都配置红外收发器接口。随着红外数据传输技术更加成熟、成本下降,红外收发器在短距离通讯领域必将得到更广泛的应用。 本系统的设计目的是用红外线作为传输媒质来传输用户的操作信息并由接收电路解调出原始信号,主要用到编码芯片和解码芯片对信号进行调制与解调,其中编码芯片用的是台湾生产的PT2262,解码芯片是PT2272。主要工作原理是:利用编码键盘可以为PT2262提供的输入信息,PT2262对输入的信息进行编码并加载到38KHZ的载波上并调制红外发射二极管并辐射到空间,然后再由接收系统接收到发射的信号并解调出原始信息,由PT2272对原信号进行解码以驱动相应的电路完成用户的操作要求。 关键字:红外线;编码;解码;LM386;红外收发器。 1 绪论

本科毕业论文内部控制外文文献翻译完整版中英对照

A Clear Look at Internal Controls: Theory and Concepts Hammed Arad (Philae) Department of accounting, Islamic Azad University, Hamadan, Iran Barak Jamshedy-Navid Faculty Member of Islamic Azad University, Kerman-shah, Iran Abstract: internal control is an accounting procedure or system designed to promote efficiency or assure the implementation of a policy or safeguard assets or avoid fraud and error. Internal Control is a major part of managing an organization. It comprises the plans, methods, and procedures used to meet missions, goals, and objectives and, in doing so, support performance-based management. Internal Control which is equal with management control helps managers achieve desired results through effective stewardship of resources. Internal controls should reduce the risks associated with undetected errors or irregularities, but designing and establishing effective internal controls is not a simple task and cannot be accomplished through a short set of quick fixes. In this paper the concepts of internal controls and different aspects of internal controls are discussed. Keywords: Internal Control, management controls, Control Environment, Control Activities, Monitoring 1. Introduction The necessity of control in new variable business environment is not latent for any person and management as a response factor for stockholders and another should implement a great control over his/her organization. Control is the activity of managing or exerting control over something. he emergence and development of systematic thoughts in recent decade required a new attention to business resource and control over this wealth. One of the hot topic a bout controls over business resource is analyzing the cost-benefit of each control. Internal Controls serve as the first line of defense in safeguarding assets and preventing and detecting errors and fraud. We can say Internal control is a whole system of controls financial and otherwise, established by the management for the smooth running of business; it includes internal cheek, internal audit and other forms of controls. COSO describe Internal Control as follow. Internal controls are the methods employed to help ensure the achievement of an objective. In accounting and organizational theory, Internal control is defined as a process effected by an organization's structure, work and authority flows, people and management information systems, designed to help the organization accomplish specific goals or objectives. It is a means by which an organization's resources are directed, monitored, and measured. It plays an important role in preventing and detecting fraud and protecting the organization's resources, both physical (e.g., machinery and property) and intangible (e.g., reputation or intellectual property such as trademarks). At the organizational level, internal control objectives relate to the reliability of financial reporting, timely feedback on the achievement of operational or strategic goals, and compliance with laws and regulations. At the specific transaction level, internal control refers to the actions taken to achieve a specific objective (e.g., how to ensure the organization's payments to third parties are for valid services rendered.) Internal control

外文翻译

Load and Ultimate Moment of Prestressed Concrete Action Under Overload-Cracking Load It has been shown that a variation in the external load acting on a prestressed beam results in a change in the location of the pressure line for beams in the elastic range.This is a fundamental principle of prestressed construction.In a normal prestressed beam,this shift in the location of the pressure line continues at a relatively uniform rate,as the external load is increased,to the point where cracks develop in the tension fiber.After the cracking load has been exceeded,the rate of movement in the pressure line decreases as additional load is applied,and a significant increase in the stress in the prestressing tendon and the resultant concrete force begins to take place.This change in the action of the internal moment continues until all movement of the pressure line ceases.The moment caused by loads that are applied thereafter is offset entirely by a corresponding and proportional change in the internal forces,just as in reinforced-concrete construction.This fact,that the load in the elastic range and the plastic range is carried by actions that are fundamentally different,is very significant and renders strength computations essential for all designs in order to ensure that adequate safety factors exist.This is true even though the stresses in the elastic range may conform to a recognized elastic design criterion. It should be noted that the load deflection curve is close to a straight line up to the cracking load and that the curve becomes progressively more curved as the load is increased above the cracking load.The curvature of the load-deflection curve for loads over the cracking load is due to the change in the basic internal resisting moment action that counteracts the applied loads,as described above,as well as to plastic strains that begin to take place in the steel and the concrete when stressed to high levels. In some structures it may be essential that the flexural members remain crack free even under significant overloads.This may be due to the structures’being exposed to exceptionally corrosive atmospheres during their useful life.In designing prestressed members to be used in special structures of this type,it may be necessary to compute the load that causes cracking of the tensile flange,in order to ensure that adequate safety against cracking is provided by the design.The computation of the moment that will cause cracking is also necessary to ensure compliance with some design criteria. Many tests have demonstrated that the load-deflection curves of prestressed beams are approximately linear up to and slightly in excess of the load that causes the first cracks in the tensile flange.(The linearity is a function of the rate at which the load is applied.)For this reason,normal elastic-design relationships can be used in computing the cracking load by simply determining the load that results in a net tensile stress in the tensile flange(prestress minus the effects of the applied loads)that is equal to the tensile strength of the concrete.It is customary to assume that the flexural tensile strength of the concrete is equal to the modulus of rupture of the

机器人外文翻译

英文原文出自《Advanced Technology Libraries》2008年第5期 Robot Robot is a type of mechantronics equipment which synthesizes the last research achievement of engine and precision engine, micro-electronics and computer, automation control and drive, sensor and message dispose and artificial intelligence and so on. With the development of economic and the demand for automation control, robot technology is developed quickly and all types of the robots products are come into being. The practicality use of robot products not only solves the problems which are difficult to operate for human being, but also advances the industrial automation program. At present, the research and development of robot involves several kinds of technology and the robot system configuration is so complex that the cost at large is high which to a certain extent limit the robot abroad use. To development economic practicality and high reliability robot system will be value to robot social application and economy development. With the rapid progress with the control economy and expanding of the modern cities, the let of sewage is increasing quickly: With the development of modern technology and the enhancement of consciousness about environment reserve, more and more people realized the importance and urgent of sewage disposal. Active bacteria method is an effective technique for sewage disposal,The lacunaris plastic is an effective basement for active bacteria adhesion for sewage disposal. The abundance requirement for lacunaris plastic makes it is a consequent for the plastic producing with automation and high productivity. Therefore, it is very necessary to design a manipulator that can automatically fulfill the plastic holding. With the analysis of the problems in the design of the plastic holding manipulator and synthesizing the robot research and development condition in recent years, a economic scheme is concluded on the basis of the analysis of mechanical configuration, transform system, drive device and control system and guided by the idea of the characteristic and complex of mechanical configuration,

外文翻译范例

要求:选择一篇和自己论文或者自己专业相关的外文进行翻译,英文字符数在6000-7000字符(注:可以继续按原文的一部分)。排版格式按照下文 公司的核心竞争力 原文来源:The Core Competence of the Corporation, Research Report on Harvard Business Review,1990 至少有三种检验方法可以用来确定公司的核心竞争力。首先,核心竞争力能够为公司进入多个市场提供方便。举例来说,显示器系统方面的核心竞争力能够使一家公司涉足计算器、微型电视机、手提电脑显示屏以及汽车仪表盘等广泛的业务领域,这就是卡西欧公司进军手持式电视机市场不足为奇的原因。第二,核心竞争力应当对最终产品为客户带来的可感知价值有重大贡献。显然,本田公司的发动机专长满足了这个条件。 最后一点,核心竞争力应当是竞争对手难以模仿的。如果核心竞争力是各项技术和生产技能的复杂的融合,那么这项能力就难以被竞争对手模仿。竞争对手或许能够获得核心竞争力中的几种技术,但是要复制其内部协调与学习的整体模式却非常困难。在20世纪60年代初期,JVC决定致力于录像带技术方面的核心竞争力,这个核心竞争力就通过了我们上述的三项检验。20世纪70年代末美国的RCA公司决心开发以唱针为基础的视频转动式系统,这个项目则不能通过上述三项检验。 。。。。。。。。。。。 The Core Competence of the Corporation The Core Competence of the Corporation Research Report on Harvard Business Review,1990 The distinction we observed in the way NEC and GTE conceived of themselves a portfolio of competencies versus a portfolio of businesses was repeated across many industries. From 1980 to 1988, Canon grew by 264%, Honda by 200%. Compare that with Xerox and Chrysler. And if Western managers were once anxious about the low cost and high quality of Japanese imports, they are now overwhelmed by the pace at which Japanese rivals are inventing new markets, creating new products, and enhancing them. Canon has given us personal copiers; Honda has moved from motorcycles to four wheel off road buggies. Sony developed the 8mm camcorder, Yamaha, the digital piano. Komatsu developed an underwater remote controlled bulldozer, while Casio's latest gambit is a small screen color LCD television. Who would have anticipated the evolution of these vanguard markets? In more established markets, the Japanese challenge has been just as disquieting. Japanese companies are generating a blizzard of features and functional enhancements that bring technological sophistication to everyday products. Japanese car producers have been pioneering four wheel steering, four valve-per cylinder engines, in car navigation systems, and sophisticated

土木外文翻译原文和译文

A convection-conduction model for analysis of the freeze-thaw conditions in the surrounding rock wall of a tunnel in permafrost regions Abstract Based on the analyses of fundamental meteorological and hydrogeological conditions at the site of a tunnel in the cold regions, a combined convection-conduction model for air flow in the tunnel and temperature field in the surrounding has been constructed. Using the model, the air temperature distribution in the Xiluoqi No. 2 Tunnel has been simulated numerically. The simulated results are in agreement with the data observed. Then, based on the in situ conditions of sir temperature, atmospheric pressure, wind force, hydrogeology and engineering geology, the air-temperature relationship between the temperature on the surface of the tunnel wall and the air temperature at the entry and exit of the tunnel has been obtained, and the freeze-thaw conditions at the Dabanshan Tunnel which is now under construction is predicted. Keywords: tunnel in cold regions, convective heat exchange and conduction, freeze-thaw. A number of highway and railway tunnels have been constructed in the permafrost regions and their neighboring areas in China. Since the hydrological and thermal conditions changed after a tunnel was excavated,the surrounding wall rock materials often froze, the frost heaving caused damage to the liner layers and seeping water froze into ice diamonds,which seriously interfered with the communication and transportation. Similar problems of the freezing damage in the tunnels also appeared in other countries like Russia, Norway and Japan .Hence it is urgent to predict the freeze-thaw conditions in the surrounding rock materials and provide a basis for the design,construction and

会计内部控制中英文对照外文翻译文献

会计内部控制中英文对照外文翻译文献(文档含英文原文和中文翻译)

内部控制透视:理论与概念 摘要:内部控制是会计程序或控制系统,旨在促进效率或保证一个执行政策或保护资产或避免欺诈和错误。内部是一个组织管理的重要组成部分。它包括计划、方法和程序使用,以满足任务,目标和目的,并在这样做,支持基于业绩的管理。内部控制是管理阶层的平等与控制可以帮助管理者实现资源的预期的有效管理的结果通过。内部控制应减少或违规错误的风险关联未被发现的,但设计和建立有效的内部控制不是一个简单的任务,不可能是一个实现通过快速修复短套。在此讨论了内部文件的概念的不同方面的内部控制和管制。 关键词:内部控制,管理控制,控制环境,控制活动,监督 1、介绍 环境需要新的业务控制变量不为任何潜在的股东和管理人士的响应因子为1,另外应执行/她组织了一个很大的控制权。控制是管理活动的东西或以上施加控制。思想的产生和近十年的发展需要有系统的商业资源和控制这种财富一个新的关注。主题之一热一回合管制的商业资源是分析每个控制成本效益。 作为内部控制和欺诈的第一道防线,维护资产以及预防和侦查错误。内部控制,我们可以说是一种控制整个系统的财务和其他方面的管理制定了为企业的顺利运行;它包括内部的脸颊,内部审计和其他形式的控制。 COSO的内部控制描述如下。内部控制是一个客观的方法用来帮助确保实现。在会计和组织理论,内部控制是指或目标目标的过程实施由组织的结构,工作和权力流动,人员和具体的管理信息系统,旨在帮助组织实现。这是一种手段,其中一个组织的资源被定向,监控和测量。它发挥着无形的(重要的作用,预防和侦查欺诈和保护组织的资源,包括生理(如,机械和财产)和乙二醇,声誉或知识产权,如商标)。在组织水平,内部控制目标与可靠性的目标或战略的财务报告,及时反馈业务上的成就,并遵守法律,法规。在具体的交易水平,内部控制是指第三方采取行动以实现一个具体目标(例如,如何确保本组织的款项,在申请服务提供有效的。)内部控制程序reduce程变异,导

毕业设计外文翻译资料

外文出处: 《Exploiting Software How to Break Code》By Greg Hoglund, Gary McGraw Publisher : Addison Wesley Pub Date : February 17, 2004 ISBN : 0-201-78695-8 译文标题: JDBC接口技术 译文: JDBC是一种可用于执行SQL语句的JavaAPI(ApplicationProgrammingInterface应用程序设计接口)。它由一些Java语言编写的类和界面组成。JDBC为数据库应用开发人员、数据库前台工具开发人员提供了一种标准的应用程序设计接口,使开发人员可以用纯Java语言编写完整的数据库应用程序。 一、ODBC到JDBC的发展历程 说到JDBC,很容易让人联想到另一个十分熟悉的字眼“ODBC”。它们之间有没有联系呢?如果有,那么它们之间又是怎样的关系呢? ODBC是OpenDatabaseConnectivity的英文简写。它是一种用来在相关或不相关的数据库管理系统(DBMS)中存取数据的,用C语言实现的,标准应用程序数据接口。通过ODBCAPI,应用程序可以存取保存在多种不同数据库管理系统(DBMS)中的数据,而不论每个DBMS使用了何种数据存储格式和编程接口。 1.ODBC的结构模型 ODBC的结构包括四个主要部分:应用程序接口、驱动器管理器、数据库驱动器和数据源。应用程序接口:屏蔽不同的ODBC数据库驱动器之间函数调用的差别,为用户提供统一的SQL编程接口。 驱动器管理器:为应用程序装载数据库驱动器。 数据库驱动器:实现ODBC的函数调用,提供对特定数据源的SQL请求。如果需要,数据库驱动器将修改应用程序的请求,使得请求符合相关的DBMS所支持的文法。 数据源:由用户想要存取的数据以及与它相关的操作系统、DBMS和用于访问DBMS的网络平台组成。 虽然ODBC驱动器管理器的主要目的是加载数据库驱动器,以便ODBC函数调用,但是数据库驱动器本身也执行ODBC函数调用,并与数据库相互配合。因此当应用系统发出调用与数据源进行连接时,数据库驱动器能管理通信协议。当建立起与数据源的连接时,数据库驱动器便能处理应用系统向DBMS发出的请求,对分析或发自数据源的设计进行必要的翻译,并将结果返回给应用系统。 2.JDBC的诞生 自从Java语言于1995年5月正式公布以来,Java风靡全球。出现大量的用java语言编写的程序,其中也包括数据库应用程序。由于没有一个Java语言的API,编程人员不得不在Java程序中加入C语言的ODBC函数调用。这就使很多Java的优秀特性无法充分发挥,比如平台无关性、面向对象特性等。随着越来越多的编程人员对Java语言的日益喜爱,越来越多的公司在Java程序开发上投入的精力日益增加,对java语言接口的访问数据库的API 的要求越来越强烈。也由于ODBC的有其不足之处,比如它并不容易使用,没有面向对象的特性等等,SUN公司决定开发一Java语言为接口的数据库应用程序开发接口。在JDK1.x 版本中,JDBC只是一个可选部件,到了JDK1.1公布时,SQL类包(也就是JDBCAPI)

外文翻译机械手

Hand Column Type Power Machine Follow with our country the rapid development of industrial production, rapidly enhance level of automation, implementation artifacts of handling, steering, transmission or toil for welding gun, spraing gun, spanner and other tools for processing, assembly operations for example automation, should cause the attention of people more and more. Industrial robot is an important branch of industrial robots. It features can be programmed to perform tasks in a variety of expectations, in both structure and performance advantages of their own people and machines, in particular, reflects the people's intelligence and adaptability. The accuracy of robot operations and a variety of environments the ability to complete the work in the field of national economy and there are broad prospects for development. With the development of industrial automation, there has been CNCmachining center, it is in reducing labor intensity, while greatly improved labor productivity. However, the upper and lower commonin CNCmachining processes material, usually still use manual or traditional relay-controlled semi-automatic device. The former time-consuming and labor intensive, inefficient; the latter due to design complexity, require more relays, wiring complexity, vulnerability to body vibration interference, while the existence of poor reliability, fault more maintenance problems and other issues. Programmable Logic Controller PLC-controlled robot control system for materials up and down movement is simple, circuit design is reasonable, with a strong anti-jamming capability, ensuring the system's reliability, reduced maintenance rate, and improve work efficiency. Robot technology related to mechanics, mechanics, electrical hydraulic technology, automatic control technology, sensor technology and computer technology and other fields of science, is a cross-disciplinary integrated technology. Current industrial approaches to robot arm control treat each joint of the robot arm as a simple joint servomechanism. The servomechanism approach models the varying dynamics of a manipulator inadequately because it neglects the motion and configuration of the whole arm mechanism. These changes in the parameters of the controlled system sometimes are significant enough to render conventional feedback control strategies ineffective. The result is reduced servo response speed and damping, limiting the precision and speed of the end-effecter and making it appropriate only for limited-precision tasks. Manipulators controlled in this manner move at slow speeds with unnecessary vibrations. Any significant performance gain in this and other areas of robot arm control require the consideration of more efficient dynamic models, sophisticated control approaches, and the use of dedicated computer architectures and parallel processing techniques. Manipulator institutional form is simple, strong professionalism, only as a loading device for a machine tools, special-purpose manipulator is attached to this machine.

相关文档
最新文档