通信原理实验报告三主要数字调制系统的抗误码性能的仿真比较

通信原理实验报告三主要数字调制系统的抗误码性能的仿真比较
通信原理实验报告三主要数字调制系统的抗误码性能的仿真比较

实验三主要数字调制系统的抗误码性能的仿真比较

一、实验目的

1.熟悉2ASK、2FSK、2PSK、2DPSK等各种调制方式;

2.学会对2ASK、2FSK、2PSK、2DPSK等相应的主要解调方式下(分相干与非相干)的误码率进行统计;

3.学会分析误码率与信噪比间的关系。

二、实验内容

设定噪声为高斯白噪声,对2ASK、2FSK、2PSK、2DPSK等各种调制方式及相应的主要解调方式下(分相干与非相干)的误码率进行统计,并与理论值进行比较,以图形方式表示误码率与信噪比间的关系。

三、实验原理

2ASK:有两种解调方法:非相干解调(包络检波法)和相干解调(同步检测法)。其中包络检波法不需相干载波,利用e0(t)波形振幅变化表示信息的特点,取出其包络,经抽样判决即可恢复数码。相干解调需要与相干载波相乘。

2FSK:常用的解调方法:非相干解调(包络检波法);相干解调;鉴频法;过零检测法及差分检波法。

将2FSK信号分解为上下两路2ASK信号分别进行解调。其中的抽样判决是直接比较两路信号抽样值的大小,可以不专门设置门限。判决规则应与调制规则相呼应。例如,若调制时规定“1”-》载频f1,则接收时应规定:上支路样值>下支路样值判为1,反之则判为0.

2PSK:该方式中载波的相位随调制信号“1”或“0”而改变,通常用

相位0°或180°来分别表示“1”或“0”。

2PSK信号是以一个固定初相的未调载波为参考的。解调时必须有与此同频同相的同步载波。而2PSK信号是抑制载波的双边带信号,不存在载频分量,因而无法从已调信号中直接用滤波法提取本地载波。只有采用非线性变换,才能产生新的频率分量。

2DPSK:由于2DPSK信号对绝对码{an}来说是相对移相信号,对相对码{bn}来说是绝对移相信号。因此,只需在2PSK调制器前加一个差分编码器即可产生2DPSK信号。

解调:1、极性比较法(码变换法)(相干解调),此法即是2PSK解调加差分移码。

2.差分相干解调(延时比相法)(相位比较法)此法比较相邻两个载波码元的初相。不需要恢复本地载波,只需将DPSK信号延时一个码元间隔TS,然后与下一个DPSK信号相乘。相乘结果反映了前后码元的相对相位关系,经LPT后可直接抽判恢复出原始数字信息,而不需差分译码。

四、实验内容

实验代码:

Fd=1; %信号数据率

Fc=4; %载波频率

Fs=16; %调制信号的采样频率

N=Fs/Fd;

M=2; %二进制

k=log2(M); %比特数

SNRpBit=0:2:10; %设置仿真信噪比的范围(dB/bit)

SNR=SNRpBit+10*log10(k); %dB/符号

r=10.^(SNRpBit(:).*0.1); %信噪比(线性的)

symPerIter=2048; %每次迭代符号的次数

iters=10; %迭代次数

numSymbT ot=symPerIter*iters;

rand('state',56789*10^10); %均匀随机数产生的“随机种子”

randn('state',98765*10^5); %高斯白噪声产生的“随机种子”

%计算理论的比特误差,并绘制曲线

expBER1_coherent=0.5*erfc(sqrt(r)/2); %2ASK相干检测

expBER1_incoherent=0.5*exp(-r/4); %2ASK非相干检测

expBER2_coherent=0.5*erfc(sqrt(r/2)); %2FSK相干检测

expBER2_incoherent=0.5*exp(-r/2); %2FSK非相干检测

expBER3_coherent=0.5*erfc(sqrt(r)); %2PSK相干检测

expBER4_difference=0.5*exp(-r); %2DPSK差分相干检测

expBER4_coherent=erfc(sqrt(r)).*(1-0.5*erfc(sqrt(r))); %2DPSK相干检测

%绘制理论的BER关于信噪比的曲线

semilogy(SNRpBit(:),expBER1_coherent,'r:');

hold on;

semilogy(SNRpBit(:),expBER1_incoherent,'r-');

semilogy(SNRpBit(:),expBER2_coherent,'k:');

semilogy(SNRpBit(:),expBER2_incoherent,'k-');

semilogy(SNRpBit(:),expBER3_coherent,'g:');

semilogy(SNRpBit(:),expBER4_coherent,'b:');

semilogy(SNRpBit(:),expBER4_difference,'b-');

legend('理论的2ASK相干检测','理论的2ASK非相干检测','理论的2FSK相干检测','理论的2FSK非相干检测','理论的2PSK相干检测','理论的2DPSK差分相干检测','理论的2DPSK 相干检测');

title('二进制数字调制性能比较');

xlabel('SNR/bit(dB)');

ylabel('BER');

%产生Gray码编码与解码序列

grayencod=bitxor([0:M-1],floor([0:M-1]/2));

[dummy graydecod]=sort(grayencod);

graydecod=graydecod-1;

for(idx2=[1:length(SNR)])

idx=1;

while((idx<=iters))

%创建信号及Gray编码

msg_orig=randsrc(symPerIter,1,[0:M-1]);

%Gray编码

msg_gr_orig=grayencod(msg_orig+1)';

%2ASK

msg_tx1=dpskmod(msg_gr_orig,M);

msg_rx1=awgn(msg_tx1,SNR(idx2)-10*log10(0.5*N),'measured',[],'dB');

msg_gr_demod1=dpskdemod(msg_rx1,M);

msg_demod1=graydecod(msg_gr_demod1+1)';

[errBit1(idx) ratBit1(idx)]=biterr(msg_orig,msg_demod1,k);

idx=idx+1; pause(.1);

%2FSK

msg_tx2=dmod(msg_gr_orig,Fc,Fd,Fs,'fsk',M);

msg_rx2=awgn(msg_tx2,SNR(idx2)-10*log10(0.5*N),'measured',[],'dB');

msg_gr_demod2=ddemod(msg_rx2,Fc,Fd,Fs,'fsk',M);

msg_demod2=graydecod(msg_gr_demod2+1)';

[errBit2(idx) ratBit2(idx)]=biterr(msg_orig,msg_demod2,k);

%2PSK

msg_tx3=dmod(msg_gr_orig,Fc,Fd,Fs,'psk',M);

msg_rx3=awgn(msg_tx3,SNR(idx2)-10*log10(0.5*N),'measured',[],'dB');

msg_gr_demod3=ddemod(msg_rx3,Fc,Fd,Fs,'psk',M);

msg_demod3=graydecod(msg_gr_demod3+1)';

[errBit3(idx) ratBit3(idx)]=biterr(msg_orig,msg_demod3,k);

%2DPSK

msg_tx4=dmod(msg_gr_orig,Fc,Fd,Fs,'2dpsk',M);

msg_rx4=awgn(msg_tx4,SNR(idx2)-10*log10(0.5*N),'measured',[],'dB');

msg_gr_demod4=ddemod(msg_rx4,Fc,Fd,Fs,'2dpsk',M);

msg_demod4=graydecod(msg_gr_demod4+1)';

[errBit4(idx) ratBit4(idx)]=biterr(msg_orig,msg_demod4,k);

end

%计算各次迭代后的平均BER

ratio1(idx2,1)=[mean(ratBit1)];

ratio2(idx2,1)=[mean(ratBit2)];

ratio3(idx2,1)=[mean(ratBit3)];

ratio4(idx2,1)=[mean(ratBit4)];

%绘制仿真BER关于信噪比的曲线

semilogy(SNRpBit([1:size(ratio1(:,1),1)]),ratio1(:,1),'ro');

semilogy(SNRpBit([1:size(ratio2(:,1),1)]),ratio2(:,1),'ko');

semilogy(SNRpBit([1:size(ratio3(:,1),1)]),ratio3(:,1),'go');

semilogy(SNRpBit([1:size(ratio4(:,1),1)]),ratio4(:,1),'bo');

legend('理论的2ASK相干检测','理论的2ASK非相干检测','理论的2FSK相干检测','理论的2FSK非相干检测','理论的2PSK相干检测','理论的2DPSK差分相干检测','理论的

2DPSK相干检测','仿真的2ASK','仿真的2FSK','仿真的2PSK','仿真的2DPSK');

end

grid;

hold off;

生成图像如下:

此次实验产生的是2ASK、2FSK、2PSK、2DPSK误码率的理论值与实验仿真结果。2ASK、2FSK、2PSK、2DPSK相干解调与非相干解调的误码率理论值在书本上212页,实验首先直接用误码率公式做出二进制数字调制系统误码率理论值;接着通过仿真得出2ASK、2FSK、2PSK、2DPSK的仿真值。理论值与仿真值在图中均可以看到,可以发现,对2FSK仿真结果与理论值最接近,其次2PSK的仿真性能较好,2ASK、2DPSK的仿真结果与理论值有较大的偏差,但变化趋势与理论值是一致的。

五、实验心得

这次实验主要内容是对2ASK、2FSK、2PSK、2DPSK误码率的仿真。2ASK、2FSK、2PSK、2DPSK相干解调与非相干解调的误码率公式理论值在书本上均已介绍。实验通过仿真得出2ASK、2FSK、2PSK、2DPSK的仿真值。理论值与仿真值在图中均可以看到,可以发现,对2FSK仿真结果与理论值最接近,其次2PSK的仿真性能较好,2ASK、2DPSK的仿真结果与理论值有较大的偏差,但变化趋势与理论值是一致的,事实上,2ASK、2DPSK的仿真曲线与理论曲线是完全平行的两条曲线,误差出于信噪比为0时的误码率。

BPSK调制及解调实验报告

实验五BPSK调制及解调实验 一、实验目的 1、掌握BPSK调制和解调的基本原理; 2、掌握BPSK数据传输过程,熟悉典型电路; 3、了解数字基带波形时域形成的原理和方法,掌握滚降系数的概念; 4、熟悉BPSK调制载波包络的变化; 5、掌握BPSK载波恢复特点与位定时恢复的基本方法; 二、实验器材 1、主控&信号源、9号、13号模块各一块 2、双踪示波器一台 3、连接线若干 三、实验原理 1、BPSK调制解调(9号模块)实验原理框 PSK调制及解调实验原理框图 2、BPSK调制解调(9号模块)实验框图说明 基带信号的1电平和0电平信号分别与256KHz载波及256KHz反相载波相乘,叠加后得到BPSK调制输出;已调信号送入到13模块载波提取单元得到同步载波;已调信号与相干载波相乘后,经过低通滤波和门限判决后,解调输出原始基带信号。 四、实验步骤 实验项目一 BPSK调制信号观测(9号模块) 概述:BPSK调制实验中,信号是用相位相差180°的载波变换来表征被传递的信息。本项目通过对比观测基带信号波形与调制输出波形来验证BPSK调制原理。 1、关电,按表格所示进行连线。

2、开电,设置主控菜单,选择【主菜单】→【通信原理】→【BPSK/DBPSK数字调制解调】。将9号模块的S1拨为0000,调节信号源模块W3使256 KHz载波信号峰峰值为3V。 3、此时系统初始状态为:PN序列输出频率32KHz。 4、实验操作及波形观测。 (1)以9号模块“NRZ-I”为触发,观测“I”; (2)以9号模块“NRZ-Q”为触发,观测“Q”。 (3)以9号模块“基带信号”为触发,观测“调制输出”。 思考:分析以上观测的波形,分析与ASK有何关系? 实验项目二 BPSK解调观测(9号模块) 概述:本项目通过对比观测基带信号波形与解调输出波形,观察是否有延时现象,并且验证BPSK解调原理。观测解调中间观测点TP8,深入理解BPSK解调原理。 1、保持实验项目一中的连线。将9号模块的S1拨为“0000”。 2、以9号模块测13号模块的“SIN”,调节13号模块的W1使“SIN”的波形稳定,即恢复出载波。 3、以9号模块的“基带信号”为触发观测“BPSK解调输出”,多次单击13号模块的“复位”按键。观测“BPSK解调输出”的变化。 4、以信号源的CLK为触发,测9号模块LPF-BPSK,观测眼图。 思考:“BPSK解调输出”是否存在相位模糊的情况?为什么会有相位模糊的情况? 五、实验报告 1、分析实验电路的工作原理,简述其工作过程; 输入的基带信号由转换开关转接后分成两路,一路经过差分编码控制256KHz的载频,另一路经倒相去控制256KHz的载频。???解调采用锁相解调,只要在设计锁相环时,使它锁定在FSK的一个载频上此时对应的环路滤波器输出电压为零,而对另一载频失锁,则对应的环路滤波器输出电压不为零,那末在锁相环路滤波器输出端就可以获得原基带信号的信息。? 2、分析BPSK调制解调原理。 调制原理是:基带信号先经过差分编码得到相对码,再根据相对码进行绝对调相, 即将相对码的1电平和0电平信号分别与256K载波及256K反相载波相乘,叠加后得到DBPSK 调制输出。?

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

会员后台管理系统性能测试报告

文档编号:___________________ 会员后台管理系统 性能测试报告 日期:2016-11-16

修订历史记录

目录 1、测试目的 2、测试环境 3、测试工具 4、后台压力性能测试报告

1、测试目的 性能测试是成功发布一个网络应用的关键因素。当越来越多的用户访问你的站点时,清楚的知道你的应用程序和你的服务器群是怎样工作的就显得非常重要了。所以本次性能测试的目的是对会员管理系统后台服务器的压力性能进行一定的测试,提高服务器的性能稳定性。 2、测试环境 A、后台服务器操作系统: Microsoft Windows Server2003 B、环境配置: CPU:C2.8G 内存:512M 3、测试工具 工具:采用微软开发的网络后台应用程序的压力、性能测试工具Microsoft Web Application Stress Tool(WAS)做性能测试 使用WAS的好处: WAS允许你以不同的方式创建测试脚本:你可以通过使用浏览器走一遍站点来录制脚本,可以从服务器的日志文件导入URL,或者从一个网络内容文件夹选择一个文件。当然,你也可以手工地输入URL来创建一个新的测试脚本。

不像其它的工具,你可以使用任何数量的客户端运行测试脚本,全部都有一个中央主客户端来控制。在每一个测试开始前,主客户机透明地执行以下任务: ?与其他所有的客户机通讯 ?把测试数据分发给所有的客户端 ?在所有客户端同时初始化测试 ?从所有的客户端收集测试结果和报告 这个特性非常重要,尤其对于要测试一个需要使用很多客户端的服务器群的最大吞吐量时非常有用。 它的高可用性 WAS是被设计用于模拟Web浏览器发送请求到任何采用了HTTP1.0或1.1标准的服务器,而不考虑服务器运行的平台。 4、压力、性能测试报告 采用Microsoft Web Application Stress Tool(WAS)进行负载压力、性能的测试,可以使服务器的工作性能和稳定性得到提升。

抽样定理和PCM调制解调实验报告

《通信原理》实验报告 实验一:抽样定理和PAM调制解调实验 系别:信息科学与工程学院 专业班级:通信工程1003班 学生姓名:陈威 同组学生:杨鑫 成绩: 指导教师:惠龙飞 (实验时间:2012 年 12 月 7 日——2012 年 12 月28日) 华中科技大学武昌分校

1、实验目的 1对电路的组成、波形和所测数据的分析,加深理解这种调制方法的优缺点。 2.通过脉冲幅度调制实验,使学生能加深理解脉冲幅度调制的原理。 2、实验器材 1、信号源模块 一块 2、①号模块 一块 3、60M 双踪示波器 一台 4、连接线 若干 3、实验原理 3.1基本原理 1、抽样定理 图3-1 抽样与恢复 2、脉冲振幅调制(PAM ) 所谓脉冲振幅调制,即是脉冲载波的幅度随输入信号变化的一种调制方式。如果脉冲载波是由冲激脉冲组成的,则前面所说的抽样定理,就是脉冲增幅调制的原理。 自然抽样 平顶抽样 ) (t m ) (t T

图3-3 自然抽样及平顶抽样波形 PAM方式有两种:自然抽样和平顶抽样。自然抽样又称为“曲顶”抽样,(t)的脉冲“顶部”是随m(t)变化的,即在顶部保持了m(t)变已抽样信号m s 化的规律(如图3-3所示)。平顶抽样所得的已抽样信号如图3-3所示,这里每一抽样脉冲的幅度正比于瞬时抽样值,但其形状都相同。在实际中,平顶抽样的PAM信号常常采用保持电路来实现,得到的脉冲为矩形脉冲。 四、实验步骤 1、将信号源模块、模块一固定到主机箱上面。双踪示波器,设置CH1通道为同步源。 2、观测PAM自然抽样波形。 (1)将信号源上S4设为“1010”,使“CLK1”输出32K时钟。 (2)将模块一上K1选到“自然”。 (3)关闭电源,连接 表3-1 抽样实验接线表 (5)用示波器观测信号源“2K同步正弦波”输出,调节W1改变输出信号幅度,使输出信号峰-峰值在1V左右。在PAMCLK处观察被抽样信号。CH1接PAMCLK(同步源),CH2接“自然抽样输出”(自然抽样PAM信号)。

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

通信原理2DPSK调制与解调实验报告

通信原理课程设计报告

一. 2DPSK基本原理 1.2DPSK信号原理 2DPSK方式即是利用前后相邻码元的相对相位值去表示数字信息的一种方式。现假设用Φ表示本码元初相与前一码元初相之差,并规定:Φ=0表示0码,Φ=π表示1码。则数字信息序列与2DPSK信号的码元相位关系可举例表示如2PSK信号是用载波的不同相位直接去表示相应的数字信号而得出的,在接收端只能采用相干解调,它的时域波形图如图2.1所示。 图1.1 2DPSK信号 在这种绝对移相方式中,发送端是采用某一个相位作为基准,所以在系统接收端也必须采用相同的基准相位。如果基准相位发生变化,则在接收端回复的信号将与发送的数字信息完全相反。所以在实际过程中一般不采用绝对移相方式,而采用相对移相方式。 定义?Φ为本码元初相与前一码元初相之差,假设: ?Φ=0→数字信息“0”; ?Φ=π→数字信息“1”。 则数字信息序列与2DPSK信号的码元相位关系可举例表示如下: 数字信息: 1 0 1 1 0 1 1 1 0 1

DPSK信号相位:0 π π 0 π π 0 π 0 0 π 或:π 0 0 π 0 0 π 0 π π 0 2. 2DPSK信号的调制原理 一般来说,2DPSK信号有两种调试方法,即模拟调制法和键控法。2DPSK 信号的的模拟调制法框图如图1.2.1所示,其中码变换的过程为将输入的单极性不归零码转换为双极性不归零码。 图1.2.1 模拟调制法 2DPSK信号的的键控调制法框图如图1.2.2所示,其中码变换的过程为将输入的基带信号差分,即变为它的相对码。选相开关作用为当输入为数字信息“0”时接相位0,当输入数字信息为“1”时接pi。 图1.2.2 键控法调制原理图 码变换相乘 载波 s(t)e o(t)

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

软件开发系统性能测试报告

订单系统二期_Order接口 性能测试报告

目录 1.术语 (3) 2.测试环境 (3) 2.1服务器&客户端环境信息 (3) 3.测试场景 (4) 4.测试目的&策略 (5) 5.结果分析 (5) 5.1基本数据统计分析&对比 (5) 5.1.1.测试场景PT1 (5) 5.1.2.测试场景PT2 (5) 5.1.3.测试场景PT3 (6) 5.2.详细数据分析 (6) 5.2.1.测试场景PT1(getOrderList Interface) (6) 5.2.2.测试场景PT2(getOrderRow Interface) (9) 5.2.3.测试场景PT3(getOrderGoodsList) (14) 6.测试结论 (17)

1.术语 2.测试环境 2.1服务器&客户端环境信息 服务端配置: 10.19.141.57 应用服务器: CPU: Intel(R) Xeon(R) CPUE5620 @ 2.40GHz 8个逻辑CPU 内存:15GB 网卡: 1000M 操作系统: CentOS release 5.8 (Final) 辅助软件: nmon 10.19.141.58 数据库服务器: CPU: Intel(R) Xeon(R) CPUE5620 @ 2.40GHz 8个逻辑CPU 内存:8GB 网卡: 1000M 操作系统: CentOS release 5.8 (Final) 辅助软件: nmon 客户端配置:(2台) CPU:4核8线程Intel(R) Xeon(R) CPU E5620 @ 2.40GHz 内存:8.00GB 网卡: 1000M 操作系统: Windows2008 浏览器/版本号: IE9.0 测试工具: LoadRunner11.0、nmon

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

性能测试报告范例

测试目的: 考虑到各地区的用户数量和单据量的增加会给服务器造成的压力不可估计,为确保TMS系统顺利在各地区推广上线,决定对TMS系统进行性能测试,重点为监控服务器在并发操作是的资源使用情况和请求响应时间。 测试内容 测试工具 主要测试工具为:LoadRunner11 辅助软件:截图工具、Word

测试结果及分析 5个用户同时生成派车单的测试结果如下: Transaction Summary(事务摘要) 从上面的结果我们可以看到该脚本运行47秒,当5个用户同时点击生成派车单时,系统的响应时间为41.45秒,因为没有设置持续运行时间,所以这里我们取的响应时间为90percent –time,且运行的事物已经全部通过

事务概论图,该图表示本次场景共5个事务(每个用户点击一次生成派车单为1个事务),且5个事务均已pass,绿色表色pass,如出现红色则表示产生error

从上图可以看到服务器的CPU平均值为14.419% ,离最大参考值90%相差甚远;且趋势基本成一直线状,表示服务器响应较为稳定,5个用户操作5个900托运单的单据对服务器并没有产生过大的压力。

“Hits per Second(每秒点击数)”反映了客户端每秒钟向服务器端提交的请求数量,这里服务器每秒响应9,771次请求;如果客户端发出的请求数量越多,与之相对的“Average Throughput (吞吐量)”也应该越大。图中可以看出,两种图形的曲线都正常并且几乎重合,说明服务器能及时的接受客户端的请求,并能够返回结果。 按照上述策略,我们得出的最终测试结果为: 生成派车单: 1个用户,300个托运单点击生成派车单,响应时间7.34秒 5个用户,900个托运单点击生成派车单,响应时间41.45秒 单据匹配: 单用户1000箱,20000个商品,上传匹配时间8秒 五个用户2500箱,40000个商品,同时上传匹配耗时2分25秒 自由派车: 单条线路917个托运单下载,响应时间1分40秒 上述结果是在公司内网,测试环境上进行的测试,可能与实际会有偏差

通信原理2DPSK调制与解调实验报告

通信原理课程设计报告 一. 2DPSK基本原理 1.2DPSK信号原理 2DPSK方式即是利用前后相邻码元的相对相位值去表示数字信息的一种方式。现假设用Φ表示本码元初相与前一码元初相之差,并规定:Φ=0表示0码,

Φ=π表示1码。则数字信息序列与2DPSK信号的码元相位关系可举例表示如2PSK信号是用载波的不同相位直接去表示相应的数字信号而得出的,在接收端只能采用相干解调,它的时域波形图如图2.1所示。 图1.1 2DPSK信号 在这种绝对移相方式中,发送端是采用某一个相位作为基准,所以在系统接收端也必须采用相同的基准相位。如果基准相位发生变化,则在接收端回复的信号将与发送的数字信息完全相反。所以在实际过程中一般不采用绝对移相方式,而采用相对移相方式。 定义?Φ为本码元初相与前一码元初相之差,假设: ?Φ=0→数字信息“0”; ?Φ=π→数字信息“1”。 则数字信息序列与2DPSK信号的码元相位关系可举例表示如下: 数字信息: 1 0 1 1 0 1 1 1 0 1 DPSK信号相位:0 π π 0 π π 0 π 0 0 π 或:π 0 0 π 0 0 π 0 π π 0 2. 2DPSK信号的调制原理 一般来说,2DPSK信号有两种调试方法,即模拟调制法和键控法。2DPSK 信号的的模拟调制法框图如图1.2.1所示,其中码变换的过程为将输入的单极性不归零码转换为双极性不归零码。

图1.2.1 模拟调制法 2DPSK信号的的键控调制法框图如图1.2.2所示,其中码变换的过程为将输入的基带信号差分,即变为它的相对码。选相开关作用为当输入为数字信息“0”时接相位0,当输入数字信息为“1”时接pi。 图1.2.2 键控法调制原理图 3. 2DPSK信号的解调原理 2DPSK信号最常用的解调方法有两种,一种是极性比较和码变换法,另一种是差分相干解调法。 (1) 2DPSK信号解调的极性比较法 它的原理是2DPSK信号先经过带通滤波器,去除调制信号频带以外的在信道中混入的噪声,再与本地载波相乘,去掉调制信号中的载波成分,再经过低通滤波器去除高频成分,得到包含基带信号的低频信号,将其送入抽样判决器中进行抽样判决的到基带信号的差分码,再经过逆差分器,就得到了基带信号。它的原理框图如图1.3.1所示。 码变换相乘 载波 s(t)e o(t) 相乘器低通滤波器抽样判决器2DPSK 带通滤波器 延迟T

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

系统调优性能测试报告

XXXXX项目 压力测试报告 2015-10-16 XXXXXX技术有限公司文档信息

批复信息 版本记录

1简介 1.1 文档目的 本测试报告为性能对比测试报告,目的在于总结测试的工作进展情况并分析测试结果,描述本阶段测试是否达到调优预期目标,符合需要要求。 1.2 面向人员 本文档主要面向XX系统用户、测试人员、开发人员、项目管理人员和需要阅读本报告的相关领导。 1.3 参考文档 1.4 术语 1. 每秒事务数(TPS):是指每秒钟完成的事务数,事务是事先在脚本中定义的统计单元; 2. 事务平均响应时间(ART):响应时间一般反映了在并发情况下,客户端从提交请求到接受到应答所经历的时间; 3. 资源利用率:是指在不影响系统正常运行的情况下各服务器的CPU、内存等硬件资源的占用情况; 4. 最大并发用户数:系统所能承受的最大并发用户数;

5. 思考时间(Thinktime):用于模拟实际用户在不同操作之间等待的时间。例如,当用户收到来自服务器的数据时,可能要等待几秒钟查看数据,然后做出响应,这种延时就称为“思考时间”。 2第一轮测试目标 根据项目情况,本次测试的目的主要是解决XX系统个人系统登录和理财交易的处理能力达到客户正常使用要求,根据测试结果评估系统性能,为生产运行提供参考。 1)分析目前系统登录与理财的处理能力; 2)提高登录和理财交易处理能力,达到客户流畅使用的目的; 3第二轮测试安排 1、对整体系统运行环境、系统自身交易功能进行全面分析。通过 压力测试手段优化系统,提高运行效率,并给出未来三到五年 资源配置计划,制定后续保障机制。 2、计划从十月十九日开始方案讨论。

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

WEB-Tours订票系统性能测试报告

WEB Tours订票系统性能测试报告 姓名: 班级: 学号: 指导老师:

目录 1 前言 (2) 2 被测系统定义 (4) 功能简介 (4) 性能测试指标............................. 错误!未定义书签。 3 系统结构及流程 (5) 系统总体结构 (5) 关键点描述 (5) 性能测试环境 (5) 4 性能测试 (5) 性能测试概述 (6) 测试目的 (6) 测试方法及测试用例....................... 错误!未定义书签。 测试指标及期望 (7)

测试数据准备 (8) 运行状况记录 (8) 5 测试过程及结果描述 (8) 测试描述 (9) 测试场景 (9) 测试结果 (13) 6测试分析和结论 (25)

1前言 目前,WEB Tours订票系统成功上线,从而航空公司的机票信息管理逐步走上了集中管控的道路,从而将会势必出现新业务系统中信息大量增长的态势。 随着新业务系统在生产状态下日趋稳定、成熟,系统的性能问题也逐步成为了我们关注的焦点:大数据量的“冲击”,在多名用户信息进入时,系统能稳定在什么样的性能水平,面临公司业务冲刺时,系统能否经受住“考验”,这些问题需要通过一个完整的性能测试来给出答案。 本报告前部分即是基于上述考虑,参考科学的性能测试方法而撰写的,用以指导即将进行的WEB Tours订票系统的性能测试。 2被测系统定义 WEB Tours订票系统作为本次测试的被测系统,该订票系统的主要功能包括:注册和登录用户信息,订票办理,退票办理,查询客户已订票信息等。在本次测试中,将针对上述的功能进行压力测试,检查并评估在模拟环境中,系统对负载的承受能力,在不同的用户连接情况下,系统地吞吐能力和响应能力,以及在预计的数据容量中,系统能够容忍的最大用户数,

PSK调制解调实验报告范文

PSK调制解调实验报告范文 一、实验目的 1. 掌握二相绝对码与相对码的码变换方法; 2. 掌握二相相位键控调制解调的工作原理及性能测试; 3. 学习二相相位调制、解调硬件实现,掌握电路调整测试方法。 二、实验仪器 1.时钟与基带数据发生模块,位号:G 2.PSK 调制模块,位号A 3.PSK 解调模块,位号C 4.噪声模块,位号B 5.复接/解复接、同步技术模块,位号I 6.20M 双踪示波器1 台 7.小平口螺丝刀1 只 8.频率计1 台(选用) 9.信号连接线4 根 三、实验原理 相位键控调制在数字通信系统中是一种极重要的调制方式,它具有优良的抗干扰噪声性能及较高的频带利用率。在相同的信噪比条件下,可获得比其他调制方式(例如:ASK、FSK)更低的误码率,因而广泛应用在实际通信系统中。本实验箱采用相位选择法实现相位调制(二进制),绝对移相键控(PSK 或CPSK)是用输入的基带信号(绝对码)选择开关通断控制载波相位的变化来实现。相对移相键控

(DPSK)采用绝对码与相对码变换后,用相对码控制选择开关通断来实现。 (一)PSK 调制电路工作原理 二相相位键控的载波为1.024MHz,数字基带信号有32Kb/s 伪随机码、及其相对码、32KHz 方波、外加数字信号等。相位键控调制解调电原理框图,如图6-1 所示。 1.载波倒相器 模拟信号的倒相通常采用运放来实现。来自1.024MHz 载波信号输入到运放的反相输入端,在输出端即可得到一个反相的载波信号,即π相载波信号。为了使0 相载波与π相载波的幅度相等,在电路中加了电位器37W01 和37W02 调节。 2.模拟开关相乘器 对载波的相移键控是用模拟开关电路实现的。0 相载波与π相载波分别加到模拟开关A:CD4066 的输入端(1 脚)、模拟开关B:CD4066 的输入端(11 脚),在数字基带信号的信码中,它的正极性加到模拟开关A 的输入控制端(13 脚),它反极性加到模拟开关B 的输入控制端(12 脚)。用来控制两个同频反相载波的通断。当信码为“1”码时,模拟开关 A 的输入控制端为高电平,模拟开关A 导通,输出0 相载波,而模拟开关 B 的输入控制端为低电平,模拟开关B 截止。反之,当信码为“0”码时,模拟开关A 的输入控制端为低电平,模拟开关A 截止。而模拟开关B 的输入控制端却为高电平,模拟开关B 导通。输

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

相关文档
最新文档