pcf8591作为数字电压表

pcf8591作为数字电压表
pcf8591作为数字电压表

//8位AD模块pcf8591作为数字电压表,且在数码管显示

#include

#include

sbit scl=P3^7; //pcf8591的SCL引脚

sbit sda=P3^6; //pcf8591的SDA引脚

unsigned char code ledmap[]=

{0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0xff}; //共阴极编码unsigned char adc,ledbuf[6];

void delay(unsigned int z )

{

unsigned char i; //显示用的延时程序

while(--z)

for(i=110;i>0;i++);

}

void disp()

{

unsigned char pos,j;

pos=0x08; //电压在数码管上的显示程序

for(j=0;j<6;j++)

{

P1=0x00;

P0=ledbuf[j];

P1=pos;

delay(1);

pos++;

}

P1=0x00;

}

void delayus(void)

{;;} //i2c总线用到的延时程序>4.7us

void initi2c(void)

{

sda=1;

delayus(); //I2C初始化

scl=1;

delayus();

}

void i2cstart(void)

{

sda=1;

delayus(); //i2c起始信号

scl=1;

sda=0;

delayus();

}

void ack()

{

unsigned char i;

scl=1;

i=0; //i2c的应答信号

delayus();

while((sda==1)&&(i<255))

i++;

scl=0;

delayus();

}

void i2cstop(void)

{

sda=0;

delayus();

scl=1; //i2c的停止信号

delayus();

sda=1;

delayus();

}

void i2csend(unsigned char byte)

{unsigned char mask,i;

mask=byte;

for(i=0;i<8;i++) //I2C写子程序

{

mask=mask<<1;

scl=0;

delayus();

sda=CY;

delayus();

scl=1;

delayus();

}

scl=0; //写完要把SCL=0,delayus();

sda=1; //写完要把SDA等于yi

}

unsigned char i2cread(void)

{

unsigned char byte,i;

scl=0;

delayus();

sda=1;

delayus();

for(i=0;i<8;i++)

{

//读一个字节

scl=1;

delayus(); //从最高位读起byte<<=1;

byte|=sda;

scl=0;

delayus();

}

return byte;

}

unsigned char read_adc()

{

unsigned char databyte;

i2cstart();

i2csend(0x90); //器件写地址为0x90 ack();

i2csend(0x40); //通道0 ad转换ack();

i2cstart();

i2csend(0x91); //器件读地址0x91 ack();

databyte=i2cread(); //读AD转换结果

i2cstop();

return databyte;

}

void main()

{

unsigned int ad;

while(1)

{ adc=read_adc(); //读AD结果

ad=adc*2; //由于是八位,且乘以2表示512数字表示5V电压,相当于1V用数字100表示

ledbuf[0]=ledmap[ad%10]; //因此可以认为小数后面第二位是AD的个位数

ledbuf[1]=ledmap[ad/10%10]; //小数点后面的第一位是adc的十位

ledbuf[2]=ledmap[ad/100]&0x7f; //个位为adc的百位

ledbuf[3]=0xff;

ledbuf[4]=0xff;

ledbuf[5]=0xff;

disp(); //在数码管上显示

}

}

直流数字电压表课程设计报告设计

电子技术基础 课程设计 题目名称:直流数字电压表 指导教师:唐治德 学生班级: 学号: 学生姓名: 评语: 成绩: 重庆大学电气工程学院 2015年7月3日

目录一、内容摘要 二.课程设计任务与要求 2.1设计目的 2.2设计求 三.设计思路和方案选择 3.1 设计思路 3.2 方案选择 四.工作原理 4.1 基本原理框图 4.2 ICL7107的工作原理 4.3原理图 五.电路设计与仿真 六、系统调试与结果分析 6.1调试方法 6.2测试结果分析 六.元器件清单 八、总结及心得体会 九、参考文献

内容摘要 伴随着电子技术科学的发展,电子测量技术已成为广大电子技术工作者必须掌握的一门科学技术,同时对测量的精度和功能的有着更高的要求。电压是电子测量的一个主要参数,由于电压测量在电子测量中的普遍性与重要性,因此对电压测量的研究与设计有着非常重要的意义。本次设计的主要设计内容为三档直流电压表。在设计过程中由于第一次接触这种芯片,对该芯片不是很熟悉,我们参阅了大量前人的设计,在此基础上,运用A / D转换器ICL7107构建了一个直流数字电压表。本设计首先简要介绍了设计电压表的主要方式,然后详细介绍了直流数字电压表的设计流程和芯片的工作原理,本设计中我们展示了两种方案,手动换挡的自动换挡,在各方案中也给出了两种方案的优缺点。同时也给出了硬件电路的设计细节,包括各部分电路的走向、芯片的选择以及方案的可行性分析等。 关键字:ICL7107芯片,数字电压表,A\D转换,比较器,CC4006双向模拟开关。 课程设计任务及要求 2.1设计目的 1、掌握双积分A/D转换的工作原理和集成双积分A/D转换器件的设计方法 2、掌握常用数字集成电路的功能和使用 2.2设计要求 1.设计直流数字电压表 2.直流电压测量范围: 0V~1.999V,0V~19.99V,0V~199.9V。 3.直流输入电阻大于100kΩ。 4.画出完整的设计电路图,写出总结报告。 5.选做内容:自动量程转换。 设计思路和方案选择

多量程直流数字电压表

电子技术课程设计报告 专业班级: 学生学号: 学生姓名: 指导教师: 设计时间: 自动化与电气工程学院

设计课题题目: 多量程直流数字电压表 一、设计任务与要求 1.设计并制作一个直流稳压电源,设计要求为 (1) 输入电压为220V (2) 输出电压为±5V 2.设计一个2 13 直流数字电压表,设计要求为 分辨率 (1) 测量量程:基本量程:200mV 0.1mV 扩展量程:2V 1mV 20mV 0.01mV (2) 测量范围: 0mV~2V (3 ) 显示范围:十进制数0~1999 (4) 使用双积分A/D 转换器ICL7107完成直流电压的数字化转换 二、电路原理分析与方案设计 1. 设计要求分析 数字电压表由电阻网络(量程调整)、直流放大(运放组成)、电压极性判断、A/D 转换、数码(液晶)显示等部分组成。 直流数字电压表主要完成对电位器或外部电压的测量与显示。因此,为了适应不同大小的的待测模拟电压信号,应该有测量量程的选择功能。ICL7107是双积分式三位半A/D 转换器,可构成基本量程200Mv,而扩展量程20V 可由电阻电位器分压,2V 量程可由运放放大。 2. 方案设计 (1)±5V 直流稳压电源 首先通过中心抽头的18V 电源变压器,输出电压经过四个二极管组成的桥式整流电路整流后通过电容滤波,然后通过三端稳压管LM7805和KV7905分别对正负电压进行稳压,在对输出电压进行滤波,从而得到较为稳定的±5V 直流稳压电源。 (2)2 13 直流数字电压表 将输入电压分别通过电阻电位器和μA741运放放大器进行缩小和放大,将输出信号输入到ICL7107 A/D 转换器V-IN 端,经过A/D 转换电路、参考电压电路、复位电路、时钟电路等电路完成数据转换及传输,最后通过2 13 数码管进行显示。 三、单元电路分析与设计 1.单元电路原理分析 电源: (1) 电源变压器

单片机课程设计报告——数字电压表[1]剖析

数字电压表 单片机课程设计报告 班级: 姓名: 学号: 指导教师: 2011 年3 月29 日

数字电压表电路设计报告 一、题目及设计要求 采用51系列单片机和ADC设计一个数字电压表,输入为0~5V线性模拟信号,输出通过LED显示,要求显示两位小数。 二、主要技术指标 1、数字芯片A/D转换技术 2、单片机控制的数码管显示技术 3、单片机的数据处理技术 三、方案论证及选择 主要设计方框图如下: 1、主控芯片 方案1:选用专用转化芯片INC7107实现电压的测量和实现,用四位数码管显示出最后的转换电压结果。缺点是京都比较低,内部电压转换和控制部分不可控制。优点是价格低廉。 方案2:选用单片机AT89C51和A/D转换芯片ADC0809实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。缺点是价格稍贵;优点是转换京都高,且转换的过程和控制、显示部分可以控制。 基于课程设计的要求和实验室能提供的芯片,我选用了:方案2。 2、显示部分 方案1:选用4个单体的共阴极数码管。优点是价格比较便宜;缺点是焊接时比较麻烦,容易出错。 方案2:选用一个四联的共阴极数码管,外加四个三极管驱动。这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。 基于课程设计的要求和实验室所能提供的仪器,我选用了:方案2。

四、电路设计原理 模拟电压经过档位切换到不同的分压电路筛减后,经隔离干扰送到A/D 转换器进行A/D 转换。然后送到单片机中进行数据处理。处理后的数据送到LED 中显示。同时通过串行通讯与上位通信。硬件电路及软件程序。而硬件电路又大体可分为A/D 转换电路、LED 显示电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用汇编语言编程,利用Keil 和PROTEUS 软件对其编译和仿真。 一般I/O 接口芯片的驱动能力是很有限的,在LED 显示器接口电路中,输出口所能提供的驱动电流一般是不够的尤其是设计中需要用到多位LED ,此时就需要增加LED 驱动电路。驱动电路有多种,常用的是TTL 或MOS 集成电路驱动器,在本设计中采用了74LS244驱动电路。 本实验采用AT89C51单片机芯片配合ADC0808模/数转换芯片构成一个简易的数字电压表,原理电路如图1所示。该电路通过ADC0808芯片采样输入口IN0输入的0~5 V 的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道 D0~D7传送给AT89C51芯片的P0口。AT89C51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口传送给数码管。同时它还通过其三位I/O 口P1.0、P1.1、P1.2、P1.3产生位选信号,控制数码管的亮灭。另外,AT89C51还控制着ADC0808的工作。其ALE 管脚为ADC0808提供了1MHz 工作的时钟脉冲;P2.4控制ADC0808的地址锁存端 (ALE);P2.1控制ADC0808的启动端(START);P2.3控制ADC0808的输出允许端(OE);P2.0控制ADC0808的转换结束信号(EOC)。 电路原理图如下所示,三个地址位ADDA,ADDB,ADDC 均接高电平+5V 电压,因而所需测量的外部电压可由ADC0808的IN7端口输入。由于ADC0808

7017交流电压表的制作

7107是一块直流电压表,要想测交流电,需先把交流转换成直流 本电路中,输入的是0~200.0mV 的交流信号,输出的是0~200.0mV 的直流信号,从信号幅度来看,并不要求电路进行任何放大,但是,正是电路本身具有的放大作用,才保证了其几乎没有损失地进行AC -DC 的信号转换。因此,这里使用的是低功耗的高阻输入运算放大器,其不灵敏区仅仅只有2mV 左右,在普通数字万用表中大量使用,电路大同小异 ICL7107 安装电压表头时的一些要点:按照测量=±199.9mV 来说明。

1.辨认引脚:芯片的第一脚,是正放芯片,面对型号字符,然后,在芯片的左下方为第一脚。也可以把芯片的缺口朝左放置,左下角也就是第一脚了。 许多厂家会在第一脚旁边打上一个小圆点作为标记。 知道了第一脚之后,按照反时针方向去走,依次是第 2 至第40 引脚。(1 脚与40 脚遥遥相对)。 2.牢记关键点的电压:芯片第一脚是供电,正确电压是DC5V 。第36 脚是基准电压,正确数值是100mV,第26 引脚是负电源引脚,正确电压数值是负的,在-3V 至-5V 都认为正常,但是不能是正电压,也不能是零电压。芯片第31 引脚是信号输入引脚,可以输入±199.9mV 的电压。在一开始,可以把它接地,造成"0"信号输入,以方便测试。 3.注意芯片27,28,29 引脚的元件数值,它们是0.22uF,47K,0.47uF 阻容网络,这三个元件属于芯片工作的积分网络,不能使用磁片电容。芯片的33 和34 脚接的104 电容也不能使用磁片电容。 4.注意接地引脚:芯片的电源地是21 脚,模拟地是32 脚,信号地是30 脚,基准地是35 脚,通常使用情况下,这4 个引脚都接地,在一些有特殊要求的应用中(例如测量电阻或者比例测量),30 脚或35 脚就可能不接地而是按照需要接到其他电压上。--本文不讨论特殊要求应用。 5.负电压产生电路:负电压电源可以从电路外部直接使用7905 等芯片来提供,但是这要求供电需要正负电源,通常采用简单方法,利用一个+5V 供电就可以解决问题。比较常用的方法是利用ICL7660 或者NE555 等电路来得到,这样需要增加硬件成本。我们常用一只NPN 三极管,两只电阻,一个电感来进行信号放大,把芯片38 脚的振荡信号串接一个20K -56K 的电阻连接到三极管"B"极,在三极管"C"极串接一个电阻(为了保护)和一个电感(提高交流放大倍数),在正常工作时,三极管的"C"极电压为2.4V -2.8V 为最好。这样,在三极管的"C"极有放大的交流信号,把这个信号通过2 只4u7 电容和2 支1N4148 二极管,构成倍压整流电路,可以得到负电压供给ICL7107 的26 脚使用。这个电压,最好是在-3.2V 到-4.2V 之间。 6.如果上面的所有连接和电压数值都是正常的,也没有"短路"或者"开路"故障,那么,电路就应该可以正常工作了。利用一个电位器和指针万用表的电阻X1 档,我们可以分别调整出50mV,100mV,190 mV 三种电压来,把它们依次输入到ICL7107 的第31 脚,数码管应该对应分别显示50.0,100.0,190.0 的数值,允许有2 -3 个字的误差。如果差别太大,可以微调一下36 脚的电压。 7.比例读数:把31 脚与36 脚短路,就是把基准电压作为信号输入到芯片的信号端,这时候,数码管显示的数值最好是100.0 ,通常在99.7 -100.3 之间,越接近100.0 越好。这个测试是看看芯片的比例读数转换情况,与基准电压具体是多少mV 无关,也无法在外部进行调整这个读数。如果差的太多,就需要更换芯片了。 8.ICL7107 也经常使用在±1.999V 量程,这时候,芯片27,28,29 引脚的元件数值,更换为0.22uF,470K,0.047uF 阻容网络,并且把36 脚基准调整到1.000V 就可以使用在±1.999V 量程了。 9.这种数字电压表头,被广泛应用在许多测量场合,它是进行模拟-数字转换的最基本,最简单而又最低价位的一个方法,是作为数字化测量的一种最基本的技能。

直流数字电压表毕业设计

毕业设计 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计指导教师:杨喜录 电子信息工程系印制 二○一二年九月

宝鸡职业技术学院毕业设计任务书 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计 指导教师:杨喜录 电子信息工程系印制 二○一二年九月

引言 数字电压表是采用数字化电路测量的电压仪表。它以其高准确度、高可靠性、高分辨率、高性价比、读数清晰方便、测量速度快、输入阻抗高等优良特性而倍受人们的青睐。数字电压表是诸多数字化仪表的核心与基础。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。因此对数字电压表作全面深入的了解是很有必要的。传统的模拟式(即指针式)电压表已有100多年的发展史,虽然不断改进与完善,仍无法满足现代电子测量的需要,数字电压表自1952年问世以来,显示强大的生命力,现已成为在电子测量领域中应用最广泛的一种仪表。

数字电压表简称DVM (Digital Voltmeter ),它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等领域,显示出强大的生命力。与此同时,由DVM 扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。智能化数字电压表则是最大规模集成电路(LSI )、数显技术、计算机技术、自动测试技术(ATE )的结晶。一台典型的直流数字电压表主要由输入电路、A/D 转换器、控制逻辑电路、计数器(或寄存器)、显示器,以及电源电路等级部分组成。它的数字输出可由打印机记录,也可以送入计算机进行数据处理。 系统概述 数字电压表是将被测模拟量转换为数字量,并进行实时数字显示的数字系统。 该系统(如图1所示)可由MC14433--32 1位A/D 转换器、MC1413七路达林顿驱动器阵列、CD4511 BCD 到七段锁存-译码-驱动器、能隙基准电源MC1403和共阴极LED 发光数码管组成。

数字电压表设计课程设计

东北石油大学课程设计 2

东北石油大学课程设计任务书 课程硬件课程设计 题目数字电压表设计 专业 主要内容、基本要求等 一、主要内容: 利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计数字钟。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。 二、基本要求: 1、A/D转换接口电路的设计,负责对ADC0809的控制。 2、编码转换电路设计,负责把从ADC0809数据总线中读出的电压转换成BCD码。 3、输出七段显示电路的设计,负责将BCD码用7段显示器显示出来。 三、参考文献 [1] 潘松.EDA技术实用教程[M].北京:科学出版社, 2003.11-13. [2] 包明.《EDA技术与数字系统设计》.北京航天航空大学出版社. 2002. [3] EDA先锋工作室.Altera FPGA/CPLD设计[M].北京:人民邮电出版社 2005.32-33. [4] 潘松.SOPC技术实用教程[M] .清华大学出版社.2005.1-15. 完成期限第18-19周 指导教师 专业负责人

摘要 本文介绍了基于EDA技术的8位数字电压表。系统采用CPLD为控制核心,采用VHDL语言实现,论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。在硬件电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,。VHDL的英文全名是 Very-High-Speed Integrated Circuit HardwareDescription Language,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。 电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。CPLD是新型的可编程逻辑器件,采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。而 VHDL语言是EDA的关键技术之一,它采用自顶向下的设计方法,完成系统的整体设计。 本文用CPLD芯片和VHDL语言设计了一个八位的数字电压表。它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。 关键词:数字电压表;QuartusⅡ软件;EDA(电子设计自动化)

交流数字电压表的设计

电气测量技术 课程设计 题目:交流电压表设计 学院:电气信息工程学院 专业班级:电气工程及其自动化1623 姓名:黄铭(201650712326) 完成时间:2017年5月26

目录 引言 (2) 1 测量原理及系统结构 (2) 2 硬件电路设计 (3) 2.1 A/D转换模块 (3) 2.2 单片机系统 (4) 2.2.1 AT89C51性能和功能 (4) 2.3 复位电路和时钟电路 (5) 2.3.1 复位电路设计 (5) 2.3.2 时钟电路设计 (5) 2.4 LED显示系统设计 (6) 2.4.1 LED显示器的选择 (6) 2.4.2 LED显示器与单片机接口设计 (7) 2.5 总体电路设计 (7) 3 软件设计 (9) 3.1 程序设计总方案 (9) 3.2 系统子程序设计 (9) 3.2.1 初始化程序 (9) 3.2.2 A/D转换子程序 (9) 3.2.3 显示子程序 (10) 4 仿真调试及测试结果 (11) 4.1 软件调试 (11)

4.2 显示结果及误差分析 (11) 4.2.1 显示结果 (11) 4.2.2 误差分析 (13) 结论 (14) 参考文献 (15) 引言 在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量转换成不连续、离散的数字形式并加以显示的仪表。由于数字式仪器具有读数准确方便、精度高、误差小、测量速度快等特而得到广泛应用。 传统的指针式刻度电压表功能单一,进度低,容易引起视差和视觉疲劳,因而不能满足数字化时代的需要。采用单片机的数字电压表,将连续的模拟量如直流电压转换成不连续的

直流电压表的设计

目 录 一、设计要求 (2) 二、设计目的 (2) 三、设计的具体实现 (2) 1. 系统概述 (12) 2. 单元电路设计 (15) 3. 软件程序设计 (18) 四、结论与展望 (21)

五、心得体会及建议 (23) 六、附录 (26) 七、参考文献 (30) 一﹑设计要求 设计一个由8051MCU组成的简易直流电压表系统。能够测量一定范围的电压值,并以数字形式进行显示。通过这个过程熟悉A/D转换、键盘控制、串口通信和七段数码管的使用,掌握51系列单片机控制和测试方法。设计以AT89C51单片机为核心,对电压信号首先进行比例调节以满足A/D的需要;设置按键用于调节不同的电压档位;用LED显示测量得到的电压值;设计通信接口电路以实现测量数据的传送。完成基本要求,可以适当发挥进行扩展设计。 ①测量范围0-200V ②10位模数转换 ③采样结果通过LED数码管显示 ④通过串行口与PC通信 二、设计目的 (1)利用所学单片机的理论知识进行软硬件整体设计,锻炼学生理论联系实际、提高我们的综合应用能力。

(2)我们这次的课程设计是以单片机为基础,设计并开发直流电压表。 (3)掌握各个接口芯片(如ADC0808等)的功能特性及接口方法,并能运用其实现一个简单的微机应用系统功能器件。 三、设计的具体实现 技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表.传统的指针式电压表功能单一、精度低,不能满足现代测量的需求,采用单片机的数字电压表,它的精度高、抗干扰能力强。可扩展性强、集成方便,还可与PC进行实时通信。目前,有各种单片A/D转换器构成的数字电压表,以被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能测量领域,与此同时,也能把电量及非电量测量技术提高到崭新水平。该系列产品是一种高精度的安装式仪表. 本设计为简易直流数字电压表, A/D转换器部分采用普通元器件构成模拟部分,利用MCS-51单片机借助软件实现数字显示功能,自动校零、LED显示等功能时采用AT89C51单片机编程实现直流电压表量程的自动转换。 本文是以简易数字直流电压表的设计为研究内容,本系统主要包括三大模块:转换模块、数据处理模块及显示模块。其中,A/D转换采用ADC0808对输入的模拟信号进行转换,控制核心AT89C51再对转换的结果进行运算处理,最后驱动输出装置LED显示数字电压信号。总体结构框图如图1所示 模拟电压 AT89C51 单 片 机

双通道数字电压表课程设计

目录 1 引言.......................................................... - 2 - 2设计原理及要求................................................ - 2 - 2.1数字电压表的实现原理..................................... - 2 - 2.2数字电压表的设计要求..................................... - 2 - 3软件仿真电路设计................................. 错误!未定义书签。 3.1设计思路.................................... 错误!未定义书签。 3.3设计过程.................................... 错误!未定义书签。 3.4 AT89C51的功能介绍....................................... - 3 - 3.4.1简单概述........................................... - 3 - 3.4.2主要功能特性....................................... - 3 - 3.4.3 AT89C51的引脚介绍................................. - 3 - 3.5 ADC0808的引脚及功能介绍................................. - 5 - 3.5.1芯片概述........................................... - 5 - 3.5.2 引脚简介........................................... - 5 - 3.5.3 ADC0808的转换原理................................. - 6 - 3.6 74LS373芯片的引脚及功能................................. - 6 - 3.6.1芯片概述........................................... - 6 - 3.6.2引脚介绍........................................... - 6 - 3.7 LED数码管的控制显示..................................... - 7 - 3.7.1 LED数码管的模型................................... - 7 - 3.7.2 LED数码管的接口简介............................... - 7 - 4系统软件程序的设计............................... 错误!未定义书签。 4.1 主程序................................................. - 15 - 4.2 A/D转换子程序.......................................... - 16 - 4.3 中断显示程序............................... 错误!未定义书签。5电压表的调试及性能分析........................... 错误!未定义书签。 5.1 调试与测试................................. 错误!未定义书签。 5.2 性能分析............................................... - 17 - 6电路仿真图....................................... 错误!未定义书签。7总结......................................................... - 14 - 参考文献........................................... 错误!未定义书签。

简易交直流电压表

沈阳航空航天大学 课程设计任务书 课程名称电子技术综合课程设计 院(系)专业 班级学号姓名 课程设计题目简易数字电压表电路的设计 课程设计时间: 年月日至年月日 课程设计的内容及要求: 一、设计说明 设计一个简易数字电压表,它可以测量直流、交流电压。其参考原理框图如图1所示。 图1数字电压表的原理框图 二、技术指标 测量电压的技术指标如表所示。 三、设计要求 1.在选择器件时,应考虑成本,要求采用LED显示。各量程的转换采用开关转换。

2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 四、实验要求 1.根据技术指标制定实验方案;验证所设计的电路。 2.进行实验数据处理和分析。 五、推荐参考资料 1.沙占友、李学芝著.中外数字万用表电路原理与维修技术. [M]北京:人民邮电出版社,1993年 2. 阎石. 数字电子技术基础. [M]北京:高等教育出版社,2006年 3. 童诗白、华成英.模拟电子技术基础. [M]北京:高等教育出版社,2006年 4. 戴伏生.基础电子电路设计与实践. [M]北京:国防工业出版社,2002年 5. 谭博学主编.集成电路原理与应用. [M]北京:电子工业出版社,2003年 六、按照要求撰写课程设计报告 指导教师年月日 负责教师年月日 学生签字年月日 成绩评定表

一、概述 数字电压表既是常用的一种数字电压表,也是构成数字万用表的基本电路。随着科技的发展,电子产品在不断更新,但数字电压表是永远不会在电子产品中消失。 设计一个简易数字电压表,它可以测量直流、交流电压。测量电压量程为2V、20V,输入电阻为10MΩ,分辨率分别对应为1mV、10mV;准确度是在温度为23±5℃情况下测直流时为±(0.5%RDG+3字),测交流时为±(1.0%RDG+3字);输入电阻为10MΩ;最大允许直流电压为±500V,最大允许交流电压为500V。 本设计是对电压测量电路作单独的研究,从实质上去了解万用表中测量电压的过程。电路涉及到对电路、低频、数字电路等知识的考查。 二、方案论证 方案一: 方案一原理方框图如图1所示。数字电压表由分压电路,输入保护及缓冲电路,交、直流变换电路,压频转换电路、译码显示电路组成。分压电路在电路中实现电压倍率变换起到将大电压转换成小电压的作用;输入保护及缓冲电路在电路中起到避免大电压输入对电路的烧坏;交、直流变换电路起到将交流电压转换成直流电压,且直流电压值为交流电压的有效值;压频转换电路将电压转换成对应的线性频率。译码显示电路时将频率的数值通过LED数码管显示出来。 图1 方案1的原理框图 方案二: 方案二的原理框图如图2所示,电路由分压电路,输入保护及缓冲电路,交、直流变换电路,A/D转换电路,单片机及译码显示电路组成。前几个模块的功能与方案一相同,不同的是方案中用到单片机对经过A/D转换器后的数字信号进行记录然后通过译码显示电路进行显示。

交流数字电压表的设计

目录 摘要 (1) Abstract: (1) 1 引言 (2) 2 设计总体方案 (2) 2.1设计要求 (2) 2.2 设计方案 (2) 3 硬件电路设计 (3) 3.1 A/D转换模块 (3) 3.2 单片机系统 (4) 3.2.1 AT89C51性能和功能 (4) 3.3 复位电路和时钟电路 (5) 3.3.1 复位电路设计 (5) 3.3.2 时钟电路设计 (6) 3.4 LED显示系统设计 (6) 3.4.1 LED显示器的选择 (6) 3.4.2 LED显示器与单片机接口设计 (7) 3.5 总体电路设计 (7) 4 程序设计 (9) 4.1 程序设计总方案 (9) 4.2 系统子程序设计 (9) 4.2.1 初始化程序 (9) 4.2.2 A/D转换子程序 (9) 4.2.3 显示子程序 (10) 5 仿真 (10) 5.1 软件调试 (10) 5.2 显示结果及误差分析 (11) 5.2.1 显示结果 (11) 5.2.2 误差分析 (13) 结论 (14) 参考文献 (14)

附录一程序代码 (16) 附录二仪器设备清单 (18) 致谢...................................................................................................................... 错误!未定义书签。

基于单片机的简易数字电压表的设计 摘要:本文介绍了一种基于单片机的简易数字电压表的设计。该设计主要由三个模块组成:A/D转换模块,数据处理模块及显示模块。A/D转换主要由芯片ADC0808来完成,它负责把采集到的模拟量转换为相应的数字量在传送到数据处理模块。数据处理则由芯片AT89C51来完成,其负责把ADC0808传送来的数字量经过一定的数据处理,产生相应的显示码送到显示模块进行显示;此外,它还控制着ADC0808芯片工作。 该系统的数字电压表电路简单,所用的元件较少,成本低,且测量精度和可靠性较高。此数字电压表可以测量0-5V的1路模拟直流输入电压值,并通过一个四位一体的7段数码管显示出来。 关键词:单片机;数字电压表;A/D转换;AT89C51;ADC0808 Design of Simple Digital Voltmeter Based on Single-chip Microcontroller Abstract:This paper which introduces a kind of simple digital voltmeter is based on single-chip microcontroller design. The circuit of the voltage meter is mainly consisted of three mould pieces: A/D converting mould piece, A/D converting is mainly completed by the ADC0808, it converts the collected analog data into the digital data and transmits the outcome to the manifestation controlling mould piece. Data processing is mainly completed by the AT89C51 chip, it processes the data produced by the ADC0808 chip and generates the right manifestation codes, also transmits the codes to the manifestation controlling mould piece. Also, the AT89C51 chip controls the ADC0808 chip to work. The voltmeter features in simple electrical circuit, lower use of elements, low cost, moreover, its measuring precision and reliability. The voltmeter is capable of measuring voltage inputs from 1 route ranging from 0 to 5 volt, and displaying the measurements though a digital code tube of 7 pieces of LED. Keywords:Single-chip microcontroller; Digital voltmeter; A/D converter; AT89C51; ADC0808

直流数字电压表设计说明书

专业资料 《电子测量技术》直流数字电压表设计 院系软件职业技术学院 专业应用技术2班 学生姓名郭妍 学号 5103130016

目录 一、题目及设计要求……………………………………………………………………3页 二、主要技术……………………………………………………………………………3页 三、方案选择…………………………………………………………………………… 3页 四、电路设计原理……………………………………………………………………… 3页 4.1 模数转换………………………………………………………………………… 4页 4.2 数字处理及控制……………………………………………………………………5页 五、电路图分介绍……………………………………………………………………… 5页 5.1 AT89C51介绍………………………………………………………………………6页 5.2排阻介绍……………………………………………………………………………7页 5.3 晶振电路……………………………………………………………………………7页 5.4 复位电路……………………………………………………………………………8页 5.5 ADC0808介绍………………………………………………………………………8页 5.6共阴极数码管………………………………………………………………………9页 5.7模拟输入电路………………………………………………………………………9页5.8总设计图……………………………………………………………………………10页 5.9仿真图………………………………………………………………………………10页 六、设计程序……………………………………………………………………………11页 七、心得体会……………………………………………………………………………14 页

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

实验七 直流数字电压表设计

学生姓名:学号:班级:时间: 课程名称:单片机原理及应用总学时:48 教师成绩: 实验名称:实验七——直流数字电压表设计 实验目的:掌握LED动态显示和A/D转换接口设计方法。 实验内容: 根据如下电路原理图,编程实现查询法A/D转换和转换结果的十进制动态显示功能。 编程原理: LED显示器和ADC0808均采用通用IO口方式与单片机接口。 LED动态显示编程原理:将待显示数据拆解为3位十进制数,并分时地将其在相应LED位上进行显示。1次完整的输出过程为:最低位位码清零→最低位数据送P0口→最低位位码置1→软件延时→中间位位码清零→中间位数据送P0口→中间位位码置1→软件延时→最高位位码清零→最高位数据送P0口→最高位位码置1→软件延时。如此无限循环可实现动态显示。 ADC0808编程原理:被测模拟量由0#通道输入(ADDA,ADDB,ADDC均接地可选通0通道);转换启动信号(START和ALE)可由软件方式产生P2.5正脉冲;转换结束信号(EOC)可通过查询P2.6的电平变化获得;输出使能信号(OE)可由软件方式产生P2.7正脉冲。 实验要求:

1、虚拟时钟信号发生器用法可参阅P262阅读材料,C51程序编写可参考以下程序模板; ———————————————— #include ______________ //定义ADC启动位变量,_st ______________ //定义ADC结束位变量,_eoc ______________ //定义ADC锁存位变量,_oe ______________ //定义数码管最低位位变量,led0 ______________ //定义数码管第二位位变量,led1 ______________ //定义数码管第三位位变量,led2 ______________ //定义AD转换结果存放变量,ad_result ______________ //定义显示字模数组并赋初值,table //0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f void delay(unsigned int time){ //延时函数 unsigned int j = 0; for(;time>0;time--) for(j=0;j<125;j++); } void disp(void){ //动态显示函数 ___________________ // led0清0 P0= _______________ //输出最低位数据的字模 ___________________ //延时10ms ___________________ // led0置1 ___________________ // led1清0 P0= _______________ //输出中间位数据的字模 ___________________ //延时10ms ___________________ // led1置1 ___________________ // led2清0 P0= _______________ //输出最高位数据的字模 ___________________ //延时10ms ___________________ // led2置1 } void main(void){ while(1){ ___________ //模仿_st正脉冲(低_高_低) ___________ ___________ ___________ //查询_eoc,若_eoc =0,原地循环 ___________ //若_eoc =1,_oe置1 ___________ //读取AD转换结果 ___________ //_oe清0 ___________ //动态显示函数调用 } } 2、提交实验报告:包括电路原理图,虚拟时钟信号发生器设置、C51源程序,运行效果图、讨论软件延时长短对动态显示效果的影响、实验小结。

三位半数字直流电压表的设计

钦州学院 数字电子技术课程设计报告三位半数字直流电压表的设计 院系物理学院 专业过程控制自动化 学生班级2010级1班 姓名xxxx 学号xxxx 指导教师单位xxxxx 指导教师xxxx 指导教师职称xxxx

2013年7月 三位半数字直流电压表 过程控制自动化专业2010级xxx 指导教师xxx 摘要:根据设计的指标和要求,结合平时所学的理论知识,设计出一个功能较齐全的数字直流电压表。 关键词:电压表、电路、设计、A/D转换器

目录 前言 (1) 1设计技术指标与要求 (1) 1.1 设计技术指标 (1) 1.2 设计要求 (1) 2 方案的设计及元器件清单 (1) 3 电路的工作原理 (2) 4 各部分的功能 (3) 4.1 三位半位双积分 A / D 转换器CC14433 的性能特点 (3) 4.2 基准电源(CC1403) (3) 4.3 译码器(MC4511) (4) 4.4 显示电路模块 (5) 4.5 驱动器 (5) 4.6 显示器 (5) 5 系统电路总图及原理 (5)

5.1 电路组成 (5) 5.2 电路的工作原理及过程 (6) 5.2.1 三位半A/D转换器MC14433 (7) 5.2.2 七段锁存-译码-驱动器CD4511 (8) 5.2.3 高精度低漂移能隙基准电源MC1403 (9) 6 电路连接测试 (9) 7 经验体会 (10) 参考文献 (10) 前言 数字电压表(Digital Voltmeter),简称DVM,是采用数字化测量技术,把连续的模拟信号转换成不连续、离散的数字形式并加以显示的仪表。数字电压表的类型很多,其输入电路、设计电路和显示电路基本相似,只是电压—数字转换方法不同。 因此,我们此次设计电压表就是为了了解电压表的原理,从而学会制作电压表。而且通过电压表的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。 1 设计技术指标与要求 1.1 设计技术指标 1. 量程:一档:+1.999V~0~-1.999V 二档: +19.99V~0~-19.99V 2. 用七段LED数码管显示读数,做到显示稳定、不跳变; 3. 保持/测量开关:能保持某一时刻的读数;

相关文档
最新文档