vhdl汉字显示

vhdl汉字显示
vhdl汉字显示

--16*16点阵显示”北京欢迎"

--编与20121122 at henau by jsh

--烧写芯片支持MAX7000S 7128SLC84-15

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity test_led1 is

port(clk:in std_logic;

dotout:out std_logic_vector(15 downto 0);--行驱动信号输出 selout:out std_logic_vector(3 downto 0));--列选信号号输出 end test_led1;

architecture behave of test_led1 is

signal count:std_logic_vector(11 downto 0);

signal q:std_logic_vector(10 downto 0);

signal cnt16:std_logic_vector(3 downto 0);

signal dout:std_logic_vector(15 downto 0);

signal a:integer range 0 to 15;

signal tmp:std_logic_vector(1 downto 0):="00";

begin

reg:PROCESS(clk)

BEGIN

IF(clk'EVENT AND clk = '1') THEN

IF(count = "111110100000") THEN

count <= (OTHERS => '0');

if (tmp="11")then

tmp<="00";

else

tmp <= tmp+1;

end if;

ELSE

count <= count + 1;

END IF;

END IF;

END PROCESS;

p1: process(cnt16)

begin

if tmp="00" then

case cnt16 is

when"0000"=>dout<="0010000000000000"; a<=0; when"0001"=>dout<="0011000000100000"; a<=1; when"0010"=>dout<="0001100000100000"; a<=2; when"0011"=>dout<="0000100000100000"; a<=3; when"0100"=>dout<="0000010000100000"; a<=4; when"0101"=>dout<="0111111111111111"; a<=5; when"0110"=>dout<="0000000000000000"; a<=6; when"0111"=>dout<="0000000000000000"; a<=7; --北 when"1000"=>dout<="0000000000000000"; a<=8; when"1001"=>dout<="0011111111111111"; a<=9;

when"1010"=>dout<="0100000001000000"; a<=10; when"1011"=>dout<="0100000000100000"; a<=11; when"1100"=>dout<="0100000000011000"; a<=12; when"1101"=>dout<="0100000000001100"; a<=13; when"1110"=>dout<="0111100000001000"; a<=14;

when"1111"=>dout<="0000000000000000"; a<=15;

when others=>null;

end case;

elsif tmp="01" then

case cnt16 is

when"0000"=>dout<="0000000000000000"; a<=0; when"0001"=>dout<="0000000000000100"; a<=1; when"0010"=>dout<="0000000000000100"; a<=2; when"0011"=>dout<="0010000000000100"; a<=3; when"0100"=>dout<="0001000000000100"; a<=4; when"0101"=>dout<="0000100111110100"; a<=5; when"0110"=>dout<="0000000100010101"; a<=6; when"0111"=>dout<="1100000100010101"; a<=7; --京 when"1000"=>dout<="1111110100010101"; a<=8; when"1001"=>dout<="0000000100010100"; a<=9;

when"1010"=>dout<="0000000100010100"; a<=10; when"1011"=>dout<="0000100111110100"; a<=11; when"1100"=>dout<="0001000000000100"; a<=12; when"1101"=>dout<="0010000000000100"; a<=13; when"1110"=>dout<="0000000000000100"; a<=14;

when"1111"=>dout<="0000000000000000"; a<=15;

when others=>null;

end case;

elsif tmp="10"

then

case cnt16 is

when"0000"=>dout<="0000000000000000"; a<=0; when"0001"=>dout<="0000000000000000"; a<=1; when"0010"=>dout<="0000001000011000"; a<=2; when"0011"=>dout<="0000000100101000"; a<=3; when"0100"=>dout<="0000000001001000"; a<=4; when"0101"=>dout<="0000000010011000"; a<=5; when"0110"=>dout<="0000100100000100"; a<=6;

when"0111"=>dout<="0000001000000011"; a<=7; --欢 when"1000"=>dout<="0000000010000010"; a<=8; when"1001"=>dout<="0000000000100010"; a<=9;

when"1010"=>dout<="0000000000001010"; a<=10; when"1011"=>dout<="0000000001000010"; a<=11; when"1100"=>dout<="0000000010000010"; a<=12; when"1101"=>dout<="0000000100001010"; a<=13; when"1110"=>dout<="0000001000000110"; a<=14;

when"1111"=>dout<="0000000000000000"; a<=15;

when others=>null;

end case;

elsif tmp="11"

then

case cnt16 is

when"0000"=>dout<="1000000000010010"; a<=0; when"0001"=>dout<="0111111111110100"; a<=1; when"0010"=>dout<="0010000000000000"; a<=2; when"0011"=>dout<="0100000000000000"; a<=3; when"0100"=>dout<="1000111111111100"; a<=4; when"0101"=>dout<="1000100000000010"; a<=5; when"0110"=>dout<="1000110000000010"; a<=6; when"0111"=>dout<="1000000000000000"; a<=7; --迎 when"1000"=>dout<="1000000000000000"; a<=8; when"1001"=>dout<="1001111111111110"; a<=9;

when"1010"=>dout<="1000000000000010"; a<=10; when"1011"=>dout<="1000100001000010"; a<=11; when"1100"=>dout<="1000111111110010"; a<=12; when"1101"=>dout<="1000000000000000"; a<=13; when"1110"=>dout<="1000000000000000"; a<=14;

when"1111"=>dout<="0000000000000000"; a<=15; when others=>null;

end case;

end if;

dotout<=dout;

end process p1;

p2: process(clk)

begin

if clk'event and clk='1' then q<=q+1;

end if;

cnt16<=q(3 downto 0);

end process p2;

p3: process(a)--4/16译码电路

begin

case a is

when 0 => selout<="0000";

when 1 => selout<="0001";

when 2 => selout<="0010";

when 3 => selout<="0011";

when 4 => selout<="0100";

when 5 => selout<="0101";

when 6 => selout<="0110";

when 7 => selout<="0111";

when 8 => selout<="1000";

when 9 => selout<="1001"; when 10 => selout<="1010"; when 11 => selout<="1011"; when 12 => selout<="1100"; when 13 => selout<="1101"; when 14 => selout<="1110"; when 15 => selout<="1111"; when others => null;

end case;

end process p3;

end behave;

实验五 计数、译码、显示电路

. 实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器和异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器和可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1是它的逻辑 图。这个电路除了具有二进制加法计数 功能外,还具有预置数、清零、保持的 功能。图中LD是预置数控制端,D、C、 R是清零 B、A是预置数据输入端, D 端,EP、ET是计数器使能控制端,RCO 是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QD 若 D

QCQBQA=0000,除EP、ET信号外,与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、在 D C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数在 D 据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方在 D 式为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l所示。 表5-1 74LS161的功能表 本实验所需计数器是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即和反馈置数法。反馈置零法是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,清除端 D 如图5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反馈置数法是利用预置数端LD构成,把计数器输入端D1D2D2D3全部接地,当计数

计数、译码、显示电路综合应用

实验十二计数、译码、显示综合应用 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器和异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器和可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1是它的逻辑 图。这个电路除了具有二进制加法计数 功能外,还具有预置数、清零、保持的 功能。图中LD是预置数控制端,D、C、 R是清零 B、A是预置数据输入端, D 端,EP、ET是计数器使能控制端,RCO 是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QD 若 D QCQBQA=0000,除EP、ET信号外, 与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、在 D

C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数在 D 据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数在 D 方式为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表10-l所示。 表10-1 74LS161的功能表 本实验所需计数器是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即和反馈置数法。反馈置零法是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,清除端 D 如图10-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反馈置数法是利用预置数端LD构成,把计数器输入端D1D2D2D3全部接地,当计数器计到1001(十进制数9)时,利用Q D Q A反馈线使预置端LD=0,则当第十个CP到来时,计数器输出端等于输入端电平,即:Q D=Q C=Q B=Q A=0,这样可以克服反馈置零法的缺点。利用预置端LD构成的计数器电路如图10-2(b)所示。

数电实验报告实验六计数译码显示综合实验整理版.docx

数电实验报告 实验六 计数、译码、显示综合实验 姓名: 学号: 班级: 院系: 指导老师: 2016年

目录 实验目的: (22) 实验器件与仪器: (22) 实验原理: (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 实验内容: (44) 实验过程: (55) 实验总结: (66) 实验: 实验目的: 1.熟悉中规模集成电路计数器的功能及应用。 2.熟悉中规模集成电路译码器的功能及应用。 3.熟悉LED数码管及显示电路的工作原理。 4.学会综合测试的方法。 实验器件与仪器: 1.实验箱、万用表、示波器。

2.74LS160、74LS48、74LS20 实验原理: 对于计数规模小的计数器,我们使用集成触发器来设计计数器,但是如果计数器的模数达到十六以上(如六十进制)时,如果还是用集成触发器来设计的话,电路就比较复杂了。在这种情况下,我们可以用集成计数器来构成任意进制计数器。利用集成计数器的清零端和置数端实现归零,从而构成按自然态序进行计数的N进制计数器的方法。 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N-1的二进制代码。 2)求归零逻辑,即求同步清零端或置数控制端信号的逻辑表达式 3)画连线图 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N得二进制代码 2)求归零逻辑,即求异步清零端或置数控制端信号的逻辑表达式

3)画连线图 在集成计数器中,清零、置数均采用同步方法的有74LS163;均采用异步方法的有74LS193、74LS197、74LS192;清零采用异步方法、置数采用同步方法的有74LS161、74LS160;有的只具备异步清零功能,如CC4520、74LS190、74LS191;74LS90则具有异步清零和异步置9功能。 实验内容: 1.用集成计数器74LS160分别组成8421码十进制和六进制计数器, 然后连接成一个60进制计数器(6进制为高位,10进制位低位)。 使用实验箱上的LED译码显示电路显示(注意高低位顺序及最高位的处理)。用函数发生器的低频连续脉冲(调节频率为1-2Hz)作为计数器的计数脉冲。通过数码管观察计数、译码、显示电路的功能是否正确。 2.设计一个时间计数器,具有分钟和秒计时功能的计数器。

计数、译码、显示电路实验

创作编号: BG7531400019813488897SX 创作者:别如克* 实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模 集成电路,其种类有很多。如果按照触 发器翻转的次序分类,可分为同步计数 器和异步计数器两种;如果按照计数数 字的增减可分为加法计数器、减法计数 器和可逆计数器三种;如果按照计数器 进位规律又可分为二进制计数器、十进 制计数器、可编程N进制计数器等多 种。 常用计数器均有典型产品,不须自

己设计,只要合理选用即可。 本实验选用四位二进制同步计数器74LS161做计数器,该计数器外加适当的反馈电路可以构成十六进制以内的任意进制计数器。图5-1是它的逻辑图。这个电路除了具有二进制加法计数功能外,还具 有预置数、清零、保持的功能。图中LD是预置数控制端,D、C、B、 R是清零端,EP、ET是计数器使能控制端,A是预置数据输入端, D RCO是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QDQCQBQA=0000,除EP、ET 若 D 信号外,与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QD 在 D QCQBQA同时接收D、C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低在 D 电平,计数器将处于数据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入在 D 脉冲进行计数,计数方式为二进制加法,状态变化在QDQCQBQ =0000~1111间循环。74LS161的功能表详见表5-l所示。 A 表5-1 74LS161的功能表

计数、译码、显示电路41471

计数、译码、显示电路实验报告 实验目的 1.掌握集成十进制计数器、显示译码驱动器及数码管的功能与使用方法。 2.学习译码器和共阳极七段显示器的使用方法。 3.进一步熟悉用示波器测试计数器输出波形的方法。 一、实验原理 生活中常需要将计数脉冲值直观的显示出来,它的实现一般经过了下面几个步骤,如图,输出的脉冲信号通过显示器显示出相应的数字。 图3.7.1 计数、译码、显示框图 1.计数器 输入的脉冲数通过计数器计数,并将结果用8421 BCD码表示出来,本实验中采用了一种十进制计数器74LS160。 以74160为例,通过对集成计数器功能和应用的介绍,帮助读者提高借助产品手册上给出的功能表,正确而灵活地运用集成计数器的能力。 (1)74LS160的功能介绍 74LS160为十进制可预置同步计数器,其逻辑符号如图,功能表见表 表3.7.l74LS160的功能表

L × × × × × × × × L L L L H L × × ↑ d 0 d 1 d 2 d 3 d 0 d 1 d 2 d 3 H H H H ↑ × × × × 计 数 H H L × × × × × × 保 持 H H × L × × × × × 保 持 注意: 3210Q Q Q Q CT CO T = 计数器有下列输入端:异步清零端CR (低电平有效),时钟脉冲输入端CP , 同步并行置数控制LD (低电平有效),计数控制端 CT T 和 CTp ,并行数据输入端 D 0~D 3。它有下列输出端:四个触发器的输出端Q 0~Q 3,进位输出CO 。 根据功能表3.7.l ,可看出74160具有下列功能: ① 异步清零功能:若CR 输入低电平,则不管其他输入端(包括CP 端)如何,实现四个触发器全部清零。由于这一清零操作不需要时钟脉冲CP 配合(即不管CP 是什么状态都行),所以称为“异步清零”。 ② 同步并行置数功能:在CR =“1”、且LD =“0”的前提下,在CP 上升 沿的作用下,触发器Q 0~Q 3 分别接收并行数据输入信号D 0~D 3,由于这个置数操作必须有 CP 上升沿配合, 并与CP 上升沿同步,所以称为“同步”的。 由于四个触发器同时置入,所以称为“并行”。 ③ 同步十进制加计数功能:在CR =“1”, 图3.7.2 74LS160逻辑符号 LD =“1”的前提下,若计数控制端CT T =CTp=“1”,则对计数脉冲CP 实现同步十进制加计数。这里,“同步”二字既表明计数器是“同步”,而不是“异步”结构,又暗示各触发器动作都与CP (上升沿)同步。 ④ 保持功能:LD CR ==“1”的前提下,若CT T ·CT P =“0”, 即两个计数器控制端中至少有一个输入0,则不管CP 如何(包括上升沿),计数器中各触发

(Multisim数电仿真)计数、译码和显示电路Word版

实验3.11 计数、译码和显示电路 一、实验目的: 1. 掌握二进制加减计数器的工作原理。 2. 熟悉中规模集成计数器及译码驱动器的逻辑功能和使用方法。 二、实验准备: 1.计数: 计数是一种最简单、最基本的逻辑运算,计数器的种类繁多,如按计数器中

图 图3.11.2 另外一种可预计的十进制加减可逆计数器CD4510,用途也非常广,其引脚排列如图3.11.3所示,其中,E P 为预计计数使能端,in C 为进位输入端, 1P ~4P 为预计的输入端,out C 为进位输出端,U /D 为加减控制端,R 为复位端,CD4510输入、输出间 的逻辑功能如表3.11.2所示。 表3.11.2: 。 2. 译码与显示: 十进制计数器的输出经译码后驱动数码管,可以 显示0~9十个数字,CD4511是BCD~7段译码驱动集

成电路,其引脚排列如图

3.11.4所示。LT为试灯输入,BI为消隐输入,LE为锁定允许输入,A、 B、C、D为BCD码输入,a~g为七段译码。CD4511的逻辑功能如表3.11.3所示。 LED数码管是常用的数字显示器,分共阴和共阳两种,BS112201是共阴的磷化镓数码管,其外形和内部结构如图3.11.5所示。 图3.11.4 图3.11.5

1110 1111 三、计算机仿真实验内容: 1. 计数10的电路: (1). 单击电子仿真软件Multisim7基本界面左侧左列真实元件工具条“CMOS”按钮,从弹出的对话框“Family”栏中选“CMOS_10V”,再在“Component”栏中选取4093BD和4017BD各一只,如图3.11.6所示,将它们放置在电子平台上。

计数器实验报告

实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。 图5-9-1 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U—加计数端 CP D—减计数端 CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3—计数器输入端 Q0、Q1、Q2、Q3—数据输出端 CR—清除端

CC40192的功能如表5-9-1,说明如下: 表5-9-1 输 入 输 出 CR LD CP U CP D D 3 D 2 D 1 D 0 Q 3 Q 2 Q 1 Q 0 1 × × × × × × × 0 0 0 0 0 0 × × d c b a d c b a 0 1 ↑ 1 × × × × 加 计 数 0 1 1 ↑ × × × × 减 计 数 当清除端CR 为高电平“1”时,计数器直接清零;CR 置低电平则执行其它功能。 当CR 为低电平,置数端LD 也为低电平时,数据直接从置数端D 0、D 1、D 2、D 3 置入计数器。 当CR 为低电平,LD 为高电平时,执行计数功能。执行加计数时,减计数端CP D 接高电平,计数脉冲由CP U 输入;在计数脉冲上升沿进行 8421 码十进制加法计数。执行减计数时,加计数端CP U 接高电平,计数脉冲由减计数端CP D 输入,表5-9-2为8421码十进制加、减计数器的状态转换表。 表5-9-2 加法计数 输入脉冲数 0 1 2 3 4 5 6 7 8 9 输出 Q 3 0 0 0 0 0 0 0 0 1 1 Q 2 1 1 1 1 Q 1 0 0 1 1 0 0 1 1 0 0 Q 0 1 0 1 1 1 1 减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位输出CO 控制高一位的CP U 端构成的加数级联图。

2016译码显示电路实验报告

实验四译码显示电路 一、实验目的 1. 掌握中规模集成译码器的逻辑功能和使用方法 2. 熟悉数码管的使用 二、实验仪器及器件 1.器件:74LS48, 74LS194 , 74LS73,74LS00 ,74LS197, 74LS153, 74LS138,CLOCK,MPX4-CC-BULE, MPX8-CC-BULE, 及相关逻辑门 三、实验预习 1. 复习有关译码显示原理。 2. 根据实验任务,画出所需的实验线路及记录表格。 四、实验原理 1. 数码显示译码器 (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。(注:实验室实验箱上数码管为共阴四位数码管) 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(寸和寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动) (c) 符号及引脚功能 图(一)LED数码管 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。

图(二)为74LS48引脚排列。其中 A 0、A 1、A2、A 3— BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端;作为输出端使用时,灭零输出端。 注:在实验箱上使用了两个4位数码管,对应已经连接好74LS48,如图(四),实验时无需再连线,74LS48只保留引出了A 0、A1、A 2、A 3四个引脚 。在实验箱左上角的P10、P11、P12、P13(P20、P21、P22、P23)代表第一(二)块数码管的BCD 码(即A 0、A 1、A 2、A 3端)输入,DIG1~DIG8分别代表8位数码管的位选端。 2. 扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1低电平送出千位数,Ds2低电平送出百位数,……一般Ds 的低电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED (共阴)的发光段并连接至译码器的相应端,把数据输入的相应端与系统输出端相连,把各位选通端反向后接相应LED 的公共端。

计数译码显示电路实验

实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路就是由计数器、译码器与显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器就是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器与异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器与可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1就是它的逻 辑图。这个电路除了具有二进制加法计 数功能外,还具有预置数、清零、保持的 功能。图中LD就是预置数控制端,D、 R就是 C、B、A就是预置数据输入端, D 清零端,EP、ET就是计数器使能控制 端,RCO就是进位信号输出端,它的主要 功能有: ①异步清零功能

R=0(输出低电平),则输出QDQCQBQA=0000,除EP、ET信号外,与其它输入信号无若 D 关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、C、在 D B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数据保在 D 持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方式在 D 为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l 所示。 表5-1 74LS161的功能表 本实验所需计数器就是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即与反馈置数法。反馈置零法就是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,如图 清除端 D 5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反

综合性实验---计数、译码、显示华农复习过程

一、实验目的 1.掌握并理解计数、译码、显示的原理。 2.熟悉集成计数器的逻辑功能及使用方法。 3.了解译码与显示器件的使用。 二、实验仪器与器件 74LS90 X 2 74LS47 X 1 74LS00 X 2 数字电路实验箱 三、实验注意事项 1.需要注意数码管是共阴极(CK)的,公共端接地; 2.仿真时需要注意数码管的导通电流(on current); 3.除了第一个项目中的一个74LSOO集成块有两个引脚需要悬空,与非门的一组输入信号要记得并接, 其余原理图中未标注的引脚要按照也需要接地/高电平。 4.多余输入端可以有两种处理方式,一是与其他输入端并接,而是直接接电源或地。与门、与非门输入 端接电源,或门、或非门输入端接电源。 四、实验项目及原理 项目一:一位十进制计数器 1.74LS00; 引脚图: 功能:四组二输入与非门 2.译码器74LS47 功能:74LS47是BCD-7段数码管译码器驱动器,74LS47的功能用于将BCD码转化成数码块中的数字,通过它来进行解码,将每个输入的二进制代码译成对应的输出的高、低电平信号。真值表如下:

引脚图: 3.74LS90 功能: 74LS90可以实现四种不同的逻辑功能;而且还可借助R0(1)、R0(2)对计数器清零,借助6、7脚将计数器置9。6、7为置 9 输入端,不用时应接地。这里我们需要用到异步8421码十进制加法计算,所以将若将1和12相连,计数脉冲由14输入,QD、QC、QB、QA作为输出端.计数脉冲从A输入时,QA 作为输出端,为二进制计数器。计数脉冲从B输入,QD、QC、QB 作为输出端时,为异步五进制加法计数器 引脚图:

Multisim数电仿真计数译码和显示电路

实验3.11计数、译码和显示电路 一、实验目的: 1.掌握二进制加减计数器的工作原理。 2. 熟悉中规模集成计数器及译码驱动器的逻辑功能和使用方法。 二、实验准备: 1.计数: 计数是一种最简单、最基本的逻辑运算,计数器的种类繁多,如按计数器中触

图3.11.2 另外一种可预计的十进制加减可逆计数器CD4510,用途也非常广,其引脚排列如图 3.11.3所示,其中,E P 为预计计数使能端,in C 为进位输入端, 1P ~4P 为预计的输入端, out C 为进位输出端,U /D 为加减控制端,R 为复位端,CD4510输入、输出间的逻 辑功能如表3.11.2所示。 表3.11.2: 。 2. 译码与显示: 十进制计数器的输出经译码后驱动数码管,可以显示0~9十个数字,CD4511是BCD~7段译码驱动集成电路,其引脚排列如图3.11.4所示。LT 为试灯输入,BI 为消隐输入,LE 为锁定允许输入,A 、B 、

C、D为BCD码输入,a~g为七段译码。CD4511的逻辑功能如表3.11.3所示。 LED数码管是常用的数字显示器,分共阴和共阳两种,BS112201是共阴的磷化镓数码管,其外形和内部结构如图3.11.5所示。 图3.11.4 图3.11.5 三、计算机仿真实验内容:

1. 计数10的电路: (1).单击电子仿真软件Multisim7基本界面左侧左列真实元件工具条“CMOS”按钮,从弹出的对话框“Family”栏中选“CMOS_10V”,再在“Com ponent”栏中选取4093BD和4017BD各一只,如图3.11.6所示,将它们放置在电子平台上。 图3.11.6 (2).单击电子仿真软件Multisim7基本界面左侧左列真实元件工具条“Source”按钮,从弹出的对话框“Family”栏中选“POWER_SOURCES”,再在“Component”栏中选取“VDD”和地线,将它们调出放置在电子平台上。 (3).双击“VDD”图标,将弹出如图3.11.7所示对话框,将“Voltage”栏改成“10”V,再点击下方“确定”按钮退出。

(3) 实验 计数译码显示电路(设计)

实验3 计数译码显示电路(设计) 一、实验目的 1、掌握2—10进制译码器和数码管的功能和使用。 2、熟悉集成计数器74LS90的功能。 3、学会用74LS90设计任意进制计数器。 二、实验仪器及器材 1、数字电路实验箱 2.双踪示波器 2、器件:74LS90(集成计数器)、74LS00、74LS47(BCD--七段译码器)、数码管 三、实验原理(含器件介绍) 1.集成计数器 计数器是计算机和数字逻辑系统的基本部件之一,它不仅能计脉冲数,还能用作数字系统的分频器、定时器和运算器等。 根据计数器中数值增减的不同,计数器可以分为加法计数器、减法计数器以及两者兼有的可逆计数器;根据进位制不同,可分为二进制计数器、十进制计数器、八进制计数器等多种;根据计数器中各触发器状态的更新所受时钟脉冲控制的相同与否,可分为同步计数器和异步计数器。 本实验中采用的74LS90计数器是由二进制及五进制电路构成的中规模集成电路,引脚图如图3.1所示。 图3.1 74LS90引脚图 0CP : Clock (Active LOW going edge) Input to ÷2 Section 1CP : Clock (Active LOW going edge) Input to ÷5 Section (LS90) 1MR ,2MR : Master Reset (Clear) Inputs 1MS ,2MS : Master Set (Preset-9, LS90) Inputs 0Q : Output from ÷2 Section 1Q ,2Q ,3Q : Outputs from ÷5 (LS90) Sections

计数、译码、显示电路实验

实验五计数、译码、显示电路 一、实验目得 掌握中规模集成计数器74LS161及七段译码器CD4511得逻辑功能,掌握共阴极七段显示器得使用方法,熟悉用示波器测试计数器输出波形得方法。 二、实验原理 计数、译码、显示电路就就是由计数器、译码器与显示器三部分电路组成得逻辑电路。下面分别加以介绍。 1、计数器:计数器就就是一种中规模集成电路,其种类有很多。如果按照触发器翻转得次序分类,可分为同步计数器与异步计数器两种;如果按照计数数字得增减可分为加法计数器、减法计数器与可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当得反馈电路可以构成十六进制以内 得任意进制计数器。图5-1就就是它得 逻辑图。这个电路除了具有二进制加法 计数功能外,还具有预置数、清零、保持 得功能。图中就就是预置数控制端,D、 C、B、A就就是预置数据输入端,就就 是清零端,EP、ET就就是计数器使能控 制端,RCO就就是进位信号输出端,它 得主要功能有: ①异步清零功能

若=0(输出低电平),则输出QDQCQBQ A=0000,除EP、ET信号外,与其它输入信号无关,也不需要CP脉冲得配合,所以称为“异步清零”。 ②同步并行置数功能 在=1,且=0得条件下,当CP上升沿到来后,触发器QDQCQ B QA同时接收D、C、B、A输入端得并行数据。由于数据进入计数器需要CP脉冲得作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 在=1,=1得条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 在=1、=1、EP=1、ET=1得条件下,计数器对CP端输入脉冲进行计数,计数方式为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161得功能表详见表5-l所示。 表5-174LS161得功能表 本实验所需计数器就就是十进制计数器,必须对74LS161外加适当得反馈电路构成十进制计数器,状态变化在QDQ C Q BQA=0000~1001间循环。 用反馈得方法构成十进制计数器一般有两种形式,即与反馈置数法。反馈置零法就就是利用清除端构成,即:当QDQ C QBQ A=1010(十进制数10)时,通过反馈线强制计数器清零,如图 5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路得误动作,因此很少被采用。反馈置数法就就是利用预置数端构成,把计数器输入端D1D2D2D3全部接地,当计数器

计数、译码与显示实验

实验九计数、译码与显示 一、实验目的 1.进一步掌握中规模集成电路计数器的应用。 2.掌握译码驱动器的工作原理及其应用方法。 二、实验原理和电路 在数字系统中,经常需要将数字、文字和符号的二进制编码翻译成人们习惯的形式直观地显示出来,以便查看。显示器的产品很多,如荧光数码管、半导体、显示器、液晶显示和辉光数码管等。数显的显示方式一般有三种,一是重叠式显示,二是点阵式显示,三是分段式显示。 重叠式显示:它是将不同的字符电极重叠起来,要显示某字符,只需使相应的电极发亮即可,如荧光数码管就是如此。 点阵式显示:利用一定的规律进行排列、组合,显示不同的数字。例如火车站里显示列车车次、始发时间的显示就是利用点阵方式显示的。 分段式显示:数码由分布在同一平面上的若干段发光的笔划组成。如电子手表、数字电子钟的显示就是用分段式显示。 本实验中,我们选用常用的共阴极半导体数码管及其译码驱动器,它们的型号分别为LC5011-11共阴数码管,74LS248 BCD码4-7段译码驱动器。译码驱动器显示的原理框图如图1.9.1所示。LC5011-11共阴数码管和74LS248译码驱动器管脚排列如图1.9.2所示。 图1.9.1 译码显示原理图 LC5011-11共阴数码管其内部实际上是一个八段发光二极管负极连在一起的电路,如图1.9.3(a)所示。当在a.b……g、DP段加上正向电压时,发光二极管就亮。比如显示二进制数0101(即十进制数5),应使显示器的a.f.g.c.d段加上高电平就行了。同理,共阳极显示应在各段加上低电平,各段就亮了,见图1.9.3(b)。

(a)LC5011-11管脚图(b)74LS248管脚图 图1.9.2 显示器和译码驱动器外管脚排列图 (a)(b) 图1.9.3 半导体数码管显示器内部原理图 74LS248是4线-7线译码器/驱动器。其逻辑功能见表1.9.1。它的基本输入信号是4位二进制数(也可以是8421 BCD码),D、C、B、A,基本输出信号有七个:a、b、c、d、e、f、g。用74LS248驱动LC5011-11的基本接法如图1.9.4所示。当输入信号从0000至1111 16种不同状态时,其相应的显示字形如表1.9.1所示。 从表1.9.1中可以看出,除了上述基本输入和输出外还有几个辅助输入、输出端,其辅助功能为: a.灭灯功能:只要/置入0,则无论其它输入处于何状态,a~g各段均为0,显示器这时为整体不亮。 b.灭零功能:当=1且/ 作输出,不输入低电平时,如果=1时,则在D、C、B、A的所有组合下,仍然都是正常显示。如果RBI=0时,DCBA≠0000时仍正常显示,当DCBA=0000时,不再显示0的字形,而是a、b、c、d、e、f、g各段输出全为0。与此同时,输出为 低电平。 表1.9.1 74LS248逻辑功能表 十进制 或功能 D C B A a b c d e f g

数字译码显示电路心得体会

数字译码显示电路心得体会 在这段时间的数字电路基础实验中,我们做了3个基础实验和1个综合设计性实验,即:基本门电路的应用,编码、译码、显示电路,比较器的应用,以及数字显示电路。3个基础实验是从每一个小部分让我们熟悉了各种数字器件,然后我们再综合设计得到数字显示电路。和模拟电路实验相比较,数电实验要简单很多,因为它只有0、1两个电平,而且大多是集成电子元器件,不要再过多考虑电流电压、放大等问题,这也得益于它的稳定性、抗干扰性等优良特性。 对于基础实验我就不再过多重复了,现在我就着重讲一下我们在做综合实验过程中的情况吧。 我们小组一共有三个人,大家分工合作,她们主要做的工作是进行元器件的分配、电路的总体布置以及实验报告的总结,而我的工作是仿真和电路板的焊接,正是由于我们分工明确,在实验前也对其进行了认真的思考,再加上我们的“基础功夫”还不错,所以我们在很短的时间里完成了本次实验,而且最终的效果也是很理想的。 但是,我们在这个过程中也出现了一些小过失。 第一,材料准备不充分。由于我们购买的时间较晚,而且当时我们对这个实验也没有很清晰的想法,人云亦云,我们随着别人一起买器材,所以在后面的设计过程中我们要么就是缺电阻,或者是没支架腿。而在这个过程中,我们最重要的工具——电烙铁,氧化很严重,我们没有对其很好的处理,导致焊点不完美,也浪费时间!这是一个很值得重视的问题。俗话说得好,“磨刀不误砍柴工”。 第二,自身的心情。这个可以说算不上什么问题,但是这也是一个因素。在检测过程中,我们有时过于急躁,没看清就慌慌张张的就进行下一步,导致走了很多弯路。 对于实验本身在报告里已经很明确的又各种原理和结果了,我就不多说了。在最后,我感到很欣慰,不尽是因为最终结果的实现,更是因为组员的协调工作。下面我说一下我们的优点吧。 第一,分工明确。有些组一堆的挤在一起,要么等着,要么聊天等等,这样的进度就会很慢。可是我们就按照所需的工作分组,这样既节省了时间,而且最后检查电路的时候也会很清晰。我们也不是完全独立的个体,我们也在过程中交流,使得大家对进度和所作工作有个明确的概念。 第二,基础扎实。我们对基础知识的理解比较扎实,这样使得我们对全局的把握很好。 第三,工作效率高。我们小组的成员都是女孩,又都是同一宿舍的,所以我们很容易商定实验时间,联系也比较方便。而且,大家的各方面素质很好,不必男孩弱,其他人能做到的我们也能! 总之,通过这个实验,我们对组合电路有了更深刻的理解和应用,大家都从这个过程中收获了很多,不管是知识方面的累积,还是耐心,或者是其它,不管怎样的结果,我相信,我们都从中得到了最好的!

计数、译码和显示电路

实验计数、译码和显示电路 、实验目的: 1. 掌握二进制加减计数器的工作原理。 2. 熟悉中规模集成计数器及译码驱动器的逻辑功能和使用方法。 、实验准备: 1. 计数:计数是一种最简单、最基本的逻辑运算,计数器的种类繁多,如按计数器中触发器翻转的次序分类,可分为同步计数器和异步计数器;按计数器计数数字的增减分类,可分为加法计数器、减法计数器和可逆计数器等。 由JK 触发器组成的十进制异步加法计数器如图 3.11.1 所示。 L 图 3.11.1 目前,各种类型的计数器已有专门的集成电路,例如CD4017,它是一片十进制计数/ 分频器,该器件具有10个译码输出端,每个译码输出通常处于低电平,且在时钟脉冲由低到高的转换过程中依次进入高电平,每个输出在高电平维持 10 个时钟周期中的1 个时钟周期,输出10进入低电平后,进位输出由低转到高,并能与时钟允许端连成N 级。表 3.11.1 为其功能表,图是其管脚排列图。 表 3.11.1:

图 3.11.4 图 3.11.2 另外一种可预计的十进制加减可逆计数器 CD4510,用途也非常广,其引脚排列如图 3.11.3 所 示,其中,P E 为预计计数使能端, C in 为进位输入 端, P 1 ~ P 4为预计的输入端, C out 为进位输出端,U /D 为 加减控制端, R 为复位端, CD4510输入、输出间的 逻辑功能如表所示。 表 3.11.2: 2. 译码与显示: 十进制计数器的输出经译码后驱动数码管, 可以 显示 0~9 十个数字, CD4511 是 BCD~7 段译码驱动 集成电路,其引脚排列如图 3.11.4 所示。 LT 为试灯 输入,BI 为消隐输入, LE 为锁定允许输入, A 、B 、 C 、 D 为 BCD 码输入, a~g 为七段译码。 CD4511 的逻辑功能如表所示。 LED 数码管是常用的数字显示器, 分共阴和共阳 两种, BS112201是共阴的磷化镓数码管,其外形和 内部结构如图 3.11.5 所示。 Q5 1 Q1 2 7 104D 0 2 6 Q Q Q Q7 6 Q3 7 Vss 8 16 15 14 13 12 11 10 9 VDD CLR CLK CE COUT Q9 Q4 Q8 1 P E V DD Q 1 6 5 C i n Q 2 11 9 R Q 3 14 10 U/D Q 4 2 15 CP C out 7 4 P 1 12 P 2 13 P 3 3 P 4 V SS 图 3.11.3 16 7 A V DD a 1 B b 2 C c 6 D d 3 L _T e f 4 BI 5 LE V SS g 8 16 8 13 12 11 10 9 15 14

计数译码显示电路

第三章 综合应用及设计性实验 实验一 计数译码显示电路 一、实验目的 1.熟悉集成计数器、译码器和显示器的功能; 2.了解集成计数器、译码器和显示器的应用。 二、实验内容说明 1.集成计数器 计数器是计算机和数字逻辑系统的基本部件之一,它不仅能计脉冲数,还能用作数字系统的分频器、定时器和运算器等。 根据计数器中数值增减的不同,计数器可以分为加法计数器、减法计数器以及两者兼有的可逆计数器;根据进位制不同,可分为二进制计数器、十进制计数器、八进制计数器等多 种;根据计数器中各触发器状态的更新所受时钟脉冲控制的相同与否,可分为同步计数器和异步计数器。 本实验中采用的74LS90计数器是由二进制及五进制电路构成的中规模集成电路,引脚图如图3.1.1所示。74LS90可以构成十进制计数器: a. 将Q A 接到B CP ,计数脉冲由A CP 输入,则 输出为8421码; b. 将Q D 接到A CP ,计数脉冲由B CP 输入,则 输出为5421码。74LS90计数器的工作电压U CC =5V , 表3.1.1是其功能表。 表3.1.1 74LS90功能表 图3.1.1 74LS90引脚图 为便于将计数器预置成“0”(0000)或“9”(1001),74LS90还设置了两个置0输入端R 0(1)、R 0(2)和两个置9输入端S 9(1)、S 9(2)。由表3.1.1可知,74LS90有三种工作方式:直接置0、直接置9和计数,并且置9优先于置0。

通过复位法和置位法可以得到M ≤10的M 进制计数。计数器初态为0000,复位法是将第M 个时钟脉冲作用下产生的“1”电平输出Q 端去控制置0输入端R 0(1)、R 0(2),使计数器复位为0000状态而构成M 进制计数的方法;置位法是将第M-1个时钟脉冲作用下产生的“1”电平输出Q 端去控制置9输入端S 9(1)、S 9(2),使计数器状态跳到“9”(即1001状态),第M 个脉冲到达时计数器复位为0000状态而构成M 进制计数的方法。以六进制异步计数器的复位法设计为例,见图3.1.2:Q A 接到B CP ,计数脉冲由A CP 输入,则输出为8421码。将Q C 、Q B 输出端分别接至置零输入端R 0(1)、R 0(2),且计数器初态为0000。当第5个脉冲到来时,Q D Q C Q B Q A 从0000计数至0101;第6个脉冲到来后,Q D Q C Q B Q A 的0110状态成为过渡状态,使R 0(1)、R 0(2) 置零,即Q D Q C Q B Q A 复位为0000状态。六进制异步计数器的置位法设计由同学们自行完成。 (a) 接线图 (b ) 波形图 图3.1.2 六进制异步计数器(复位法) 2.译码显示 译码是将二进制代码所表示的特定含义翻译出来的过程,完成译码操作的电路就是译码器。译码器分两大类,即通用译码器和显示译码器。前者如3线-8线译码器、4线-16线译码器等属于n 线-2n 线译码器的范畴,表示n 个输入变量有2n 个不同的组合状态,相应有2n 个输出端供译码输出使用。后者常用于数字仪表、计算机和其它数字系统中,本实验采用的BCD- 七段译码器即显示译码器中的一种。当需要把测量数据和运算结果用十进制数以0、1、……、9字形直观地显示出来时,就要用译码器和显示器分别完成二-十进制译码和数字显示。 (1)LED 显示器 目前数字仪器中广泛采用的是七段显示器件,常见的有荧光数码管、液晶显示器和发光二极管显示器(也称LED 显示器)。 LED 显示器的外形图和各段编号如图3.1.3所示,“h ”脚控制小数点的发光与否,在多位数显示时有意义。发光二极管(LED )是由特殊半导体材料(磷化镓、砷化镓等)制成的二极管,基本特性与普通二极管相同。工作电压是1.5~3V ,工作电流为几毫安到十几毫安,寿命较长。当外加正向电压时,能发出清晰的光线,有红、黄、绿等多种颜色。LED 显示器又分为共阴极式和共阳极式两种,其二极管连接方式如 图3.1.3 LED 显示器的外形图 图3.1.4所示。前者各字段接高电平发光;后者各字段接低电 平发光。

相关文档
最新文档