第二章 Design Compiler简介

第二章 Design Compiler简介
第二章 Design Compiler简介

第二章 Design Compiler概述

Design Compiler是Synopsys综合软件的核心产品。它提供约束驱动时序最优化,并支持众多的设计类型,把设计者的HDL描述综合成与工艺相关的门级设计;它能够从速度、面积和功耗等方面来优化组合电路和时序电路设计,并支持平直或层次化设计。

第一节 Design Compiler入门

2-1-1 基本的综合流程

图2.1中显示了一个简化的综合流程:

图2.1 基本综合流程

Design Compiler按照所有标准EDA格式读写文件,包括Synopsys内部数据库(.db)和方程式(.eqn)格式。除此之外,Design Compiler还提供与第三方EDA工具的链接,比如布局布线工具。这些链接使得Design Compiler和其他工具实现了信息共享。

2-1-2 Design Compiler的功能

利用Design Compiler,设计者可以:

●利用用户指定的门阵列、FPGA或标准单元库,生成高速、面积优化的ASIC;

●能够在不同工艺技术之间转换设计;

●探索设计的权衡,包括延时、面积和在不同负载、温度、电压情况的功耗等设计约束条件;

●优化有限状态机的综合,包括状态的自动分配和状态的优化;

●当第三方环境仍支持延时信息和布局布线约束时,可将输入网表和输出网表或电路图整合在

一起输入至第三方环境;

●自动生成和分割层次化电路图

2-1-3支持的文件格式

表2.1列出了Design Compiler所支持的所有的输入输出的设计文件格式:

表2.1 支持的文件格式

数据格式

Netlist EDIF

LSI Logic Corporation netlist format (LSI)

Mentor Intermediate Format (MIF)

Programmable logic array (PLA)

Synopsys equation

Synopsys state table

Synopsys database format (.db)

Tegas Design Language (TDL)

Verilog

VHDL

Timing Standard Delay Format (SDF)

Command Script dcsh, Tcl

Cell Clustering Physical Design Exchange Format (PDEF)

Library Synopsys library source (.lib)

Synopsys database format (.db)

Parasitics dc_shell command scripts

2-1-4 设计类型、输入格式和输出格式

设计类型:设计可以是分层的或平直的,时序的或组合的;

输入格式:支持VHDL和Verilog作为设计描述的输入格式,也支持开编程逻辑阵列(PLA)和EDIF 200格式;

输出格式:除了Synopsys二进制格式(.db),还支持VHDL、Verilog、EDIF 200、方程式、大规模集成(large-scale integration)、Mentor图形、PLA、状态表和Tegas格式。

2-1-5 用户界面

Design Compiler提供了两种用户界面:

1.命令行界面,称为dc_shell。该界面同时支持dsch和Tcl。

2.图形用户界面(GUI),称为Design Analyzer。

2-1-5-1 选择用户界面

你可以选择其中任意一个界面来执行电路的优化工作。如果你愿意,你可以同时使用两种界面,根据任务的要求在不同的界面间移动。

Design Analyzer比dc_shell更适用于调试阶段。你也可以利用它在综合前后观察电路图。在其他方面,dc_shell功能更强、使用更容易。

在学习使用Design Compiler时,设计工程师首先使用图形用户界面――Design Analyzer。当他们对系统更为熟悉后,设计工程师通常使用dc_shell命令和脚本。为了能够完全利用Design Compiler的速度和能力,设计工程师通常需要制定能够同时利用Design Compiler和dc_shell的策略。

举个例子,一个设计工程师编写的脚本文件可以在dc_shell命令行或者Design Compiler命令行窗口执行。工程师可能编写脚本文件,然后在dc_shll中重复运行,每一次循环修改参数值来优化设计。为了显示电路图和生成报告,设计工程师可以定时的从GUI窗口而不是命令行来运行脚本。2-1-5-2 Design Analyzer图形界面

Design Analyzer为绝大多数的命令提供了菜单式界面。然而,有一些dc_shell命令并没有在Design Analyzer菜单中提供;你可以在Design Analyzer的命令窗口输入这些命令。

2-1-5-3 dc_shell命令行界面

基于dc_shell的命令行界面允许你输入命令去执行电路优化的任务。命令由命令名称、变量和变量值组成。

第二节 Design Compiler要素

2-2-1 高层设计流程

在一个基本的高层设计流程中,Dseign Compiler用于设计开发阶段和最后的设计实现阶段。在

开发阶段,利用Dseign Compiler进行初步的或默认的综合;在实现阶段,利用Dseign Compiler 的全部能力去综合设计。

图2.2显示了高层设计流程。图中阴影区域标明了在设计流程中何处会进行Dseign Compiler 的综合。

图2.2 基本的高层设计流程

根据图2.2所示的流程,执行下列步骤:

1.首先,用HDL语言描述你的设计;注意采用好的编码习惯以便能更好地运用Design Compiler的综合能力;

2.同时执行设计开发和功能仿真;

a.在设计开发时,利用Design Compiler实现特殊的设计目标(设计规则和优化约束),执行初步的、默认的综合(只利用Design Compiler的默认选项);

b.如果设计开发时,有15%的时序目标未能达到,就得修改你的设计目标和约束,或者改进你的HDL代码;然后重复设计开发和功能仿真步骤;

c.设计仿真是选择一个合适的仿真工具来验证设计是否实现预期的功能;

d.如果设计没有实现预期的功能,你必须修改HDL代码,然后重复执行设计开发和设计仿真步骤;

e.持续的进行设计开发和设计仿真,直到设计能够实现预期的功能,并且时序目标误差控制在15%以内;

3.利用Design Compiler的全部功能执行设计实现综合,以实现设计目标;在综合生成门级网表之后,验证设计是否能够实现你的目标;如果设计并没有符合你的目标,生成并分析各种报告来决定采用何种技术来改正这些问题。

4.当设计符合了功能、时序以及其他设计指标,物理设计可以由自己完成或者用到半导体生产厂家去完成。利用反标回去的数据对物理设计进行分析,如果结果没有实现目标,还得回到步骤3;如果结果实现了目标,你就完成了整个设计循环。

2-2-2 运行Design Compiler

2-2-2-1 利用配置文件

当你启动Design Compiler时,它就自动地执行三个配置文件。这些文件都有相同的文件名:.synopsys_dc.setup,但它们在不同的目录下。文件中包含命令,实现参数和变量的初始化、声明设计库等等。你可以在.synopsys_dc.setup文件中用 set_unix_variable定义系统环境变量。

按下列次序,Design Compiler从三个目录中读取三个.synopsys_dc.setup文件:

1.S ynopsys根目录

这个文件包含Synopsys定义的系统变量和一般的Design Compiler配置

信息。它影响所有的Design Compiler用户。只有系统管理员才能修改这个文件。

2.你的主目录

这是用户定义的配置文件。文件中的变量说明了你对Design Compiler工

作环境的参数选择。该文件中定义的参数将覆盖上面文件里的参数。

3.当前工作目录

这个文件包含对特殊设计的变量的设置,最后被读入。该文件中的参数将

覆盖上述两个文件中相关的参数。包括链接库、符号库、目标库和综合库,以及其他参数。

下面给出一个.synopsys_dc.setup的实例:

include -e synopsys_root + "/admin/setup/budget.setup.e"

search_path=" . "

search_path=search_path + " /export/home1/zhou/6502 "

search_path=search_path + " /export/home1/zhou/6502 "

link_library = "typical.db";

target_library = "typical.db";

symbol_library = "tsmc18.sdb";

……

2-2-2-2 运行Design Compiler

(1)以dcsh模式调用dc_shell,在系统提示符后输入dc_shell命令:

% dc_shell

系统提示符将变为:

% dc_shell>

你还可以在命令行中包含许多的选项,比如:-checkout来访问额外的许可;-f来执行脚本文件;-x来包括一个启动时执行的dc_shell命令;还有其他的可选项。

启动时,dc_shell将完成下面的工作:

1.生成一个命令日志文件;

2.读入和执行.synopsys_dc.setup文件;

3.在命令行格式,分别根据-x和-f选项,执行任何脚本文件和指定的命令;

4.在你调用Design Compiler的窗口里,显示程序标题和dc_shell提示符。

图2-3显示了一个程序标题和默认提示符的例子:

图2-3 程序标题和默认提示符

(2)运行Design Analyzer,在系统提示符后输入Design Analyzer:

% Design Analyzer

2-2-2-3 退出Design Compiler

你可以在任何时候退出Design Compiler回到操作系统。

为退出Design Compiler,执行下列操作之一:

●输入 quit;

●输入 exit;

●如果你是交互式方式运行Design Compiler并且工具正在工作,按Control-d。

当你退出dc_shell时,将会显示类似于下列的文字(反映了应用内存和CPU

的真实情况):

Memory usage for this session 1373 Kbytes.

CPU usage for this session 4 seconds.

Thank you ...

2-2-2-4 利用脚本文件

通过在文本文件里设置一系列的dc_shell命令创建命令脚本文件。任何一个dc_shell命令都能够在脚本文件里执行。

在dcsh模式里,注释包含在/*和*/之间,例如:

/* This is a comment */

为执行脚本文件,在dcsh模式里,执行include命令。当脚本完成处理,如果运行正确将返回值1,如果运行失败将返回值0。

2-2-3基本综合流程

图2-4显示了基本的综合流程。你可以将其应用于先期提到的高层设计流程中的设计开发和设计实现阶段。图中所列的基本dc_shell命令一般应用于基本流程的每一步。比如,诸如analyze,elaborate,和read_file用于将设计文件读入内存。图中所示的命令都包含选项,但未在图中标明。

在选择编译策略时,Top Down and Bottom Up并不是命令。它们指的是两种一般的旧有的编译策略,利用不同的命令组合。

下面简单论述组成基本综合流程的每一个步骤。

图2-4 基本综合流程

该基本综合流程包含下列步骤:

(1)发展HDL文件

输入Design Compiler的设计文件通常都是用诸如VHDL和Verilog HDL等

硬件描述语言编写。这些设计描述必须小心地编写以获得可能的最好的综合结果。在编写HDL代码时,你需要考虑设计数据的管理、设计划分和HDL编码风格。划分和编码风格直接影响综合和优化

过程。

虽然流程中包含该步骤,但实际上它并不是Design Compiler的一个步骤。你不能用Design Compiler工具来编写HDL文件。

(2)指定库

通过link_,target_,symbol_,和synthetic_library命令为Design Compiler指定链接库、对象库、符合库和综合库。

链接库和对象库是工艺库,详细说明了半导体厂家提供的单元和相关信息,象单元名称、单元管脚名称、管脚负载、延迟、设计规则和操作环境等。

符号库定义了设计电路图所调用的符号。如果你想应用Design Analyzer图形用户界面,就需要这个库。

另外,你必须通过synthetic_library命令来指定任何一种特殊的有许可的设计工具库(你不需要指定标准设计工具库)。

(3)读入设计

Design Compiler使用HDL Compiler将RTL级设计和门级网表作为设计输

入文件读入。通过analyze和elaborate命令读入RTL级设计,通过read_file或read命令读入门级网表。Design Compiler支持所有主要的门级网表格式。

如果你用read_file或read命令读入RTL设计,等于实现了组合3analyze和elaborate命令的功能。

(4)定义设计环境

Design Compiler要求设计者模拟出待综合设计的环境。这个模型由外部

的操作环境(制造流程、温度和电压)、负载、驱动、扇出、线负载模型等组成。它直接影响到设计综合和优化的结果。利用图2-4中该步骤下所列的一系列命令来定义设计环境。

(5)设置设计约束

Design Compiler利用设计规则和最优化约束来控制设计的综合。厂家工

艺库提供设计规则以保证产品符合规格、工作正常。典型的设计规则约束转换时间(set_max_transition)、扇出负载(set_max_fanout)和电容(set_max_capacitance)。这些规则指定了要求的工艺,你不能违反。当然,你可以设置更严格的约束。

最优化约束则定义了时序(时钟、时钟错位、输入延时和输出延时)和面积(最大面积)等设计目标。在最优化过程中,Design Compiler试图去满足这些目标,但不会去违反任何设计规则。利用图2-4中该步骤下所列的一系列命令来定义这些约束。为能够正确地优化设计,必须设置更接近现实情况的约束。

你选择的编译策略将影响设计约束的设置。流程中的步骤5和步骤6是相互依赖的。

(6)选择编译策略

你可以用来优化层次化设计的两种基本编译策略被称为自顶向下和从下上。

在自顶向下的策略里,顶层设计和它的子设计一起进行编译。所有的环境和约束设置都根据顶层设计来定义。因此,它会自动的考虑内部模块的依赖性。但对于大型设计,这种方法并不实用,因为所有的设计必须同时贮存在内存里。

在从下到上的策略里,分别对子设计进行约束和编译。在成功编译后,这些设计都被赋予一个dont_touch参数,防止在随后的编译过程中对它们进行进一步的改变。然后这些编译过的子设计组合成更高层次的设计,再进行编译。编译过程一直持续到顶层设计被综合。由于Design Compiler 不需要同时将所有未编译的子设计装载进内存,这种方法允许你编译大型设计。然而,在每一个阶段,你必须估计每个内部模块的约束,更有代表性的是,你必须不停地编译、改进那些估计,直到所有的子设计界面都是稳定的。

每一个策略都有其优点和缺点,这取决于你设计的特殊性和设计目标。你可以选择任意一个策略来进行整个设计,或者混合使用,对每一个子设计采用最合适的策略。

(7)优化设计

利用compiler命令启动Design Compiler的综合和优化进程。有几个可选

的编译选项。特别的,map_effort选项可以设置为low、mediu或high。

初步编译,如果你想对设计面积和性能有一个快速的概念,将map_effort设置为low;默认编译,如果你在进行设计开发,将map_effort设置为medium;当在进行最后设计实现编译时,将map_effort设置为high。通常设置map_effort为medium。

(8)分析和解决设计问题

通常Design Compiler根据设计综合和优化的结果生成众多的报告。你根

据诸如面积、约束和时序报告来分析和解决任何设计问题,或者改进综合结果。你可以用check命

令来检查综合过的设计,也可用其他的check_命令。

(9)保存设计数据

利用write命令来保存综合过的设计。Design Compiler在退出时并不自

动保存设计。

你也可以在一个脚本文件里保存那些综合过程中用过的设计参数和约束。脚本文件是用来管理设计参数和约束的理想工具。

2-2-4 设计实例的脚本文件

下面这个例子是一个简单的脚本,执行了自顶向下的编译过程。脚本中包含注释,标明流程中的每一个步骤。虽然,脚本中有一些命令选项和变量前面没有解释过,但从先期对基本综合流程的讨论,你已经可以理解这个例子。在下面的章节中将会对这些命令有一个详细的解释。

/* specify the libraries */ 指定库

target_library = my_lib.db

symbol_library = my_lib.sdb

link_library = "*" + target_library

/* read the design */ 读入设计文件

read -format verilog Adder16.v

/* define the design environment */ 设置设计环境

set_operating_conditions WCCOM

set_wire_load_model "10x10"

set_load 2.2 sout

set_load 1.5 cout

set_driving_cell -cell FD1 all_inputs()

set_drive 0 clk

/* set the optimization constraints */ 设计最优化约束

create_clock clk -period 10

set_input_delay -max 1.35 -clock clk {ain, bin}

set_input_delay -max 3.5 -clock clk cin

set_output_delay -max 2.4 -clock clk cout

set_max_area 0

/* map and optimize the design */ 映射和优化

uniquify

compile

/* analyze and debug the design */ 分析和除错

report_constraint -all_violators

report_area

/* save the design database */ 保存设计数据

write -format db -hierarchy -output Adder16.db

你可以按下列方式之一执行这个脚本:

(1)进入dc_shell,然后一行行地输入命令;

(2)进入dc_shell,利用include命令执行脚本文件:

dc_shell> include run.scr

(3)利用dc_shell的选项-f,在UNIX命令行执行脚本文件:

% dc_shell -f run.scr

第三节库

这一部分主要介绍基本的库的信息。Design Compiler利用工艺、符号和综合或设计工具库来完成综合,并且显示图形化的综合结果。因此你必须知道如何执行一些简单的库命令,以使Design Compiler能够正确地使用库里的数据。

2-3-1库的要求

Design Compiler使用三种库:

●工艺库(Technology Library)

●符号库(Symbol Library)

●设计工具库(DesignWare Library)

2-3-1-1 工艺库

工艺库里包含半导体厂家提供的库里的每一个单元的特征和功能信息。工艺库由半导体厂家提供和维护。

单元特征包含单元名称、管脚名称、面积、延迟和管脚负载等信息。工艺库里也定义了对于一个功能设计必须符合的条件。这些条件称为设计规则约束。除了单元信息和设计规则约束,工艺库还详细说明了对于特定工艺的操作环境和线形负载模型。

Design Compiler要求工艺库的格式为.db。大多数情况,半导体厂家会提供给你.db格式的库。

Design Compiler利用工艺库来实现下列目的:

1)实现设计功能

优化时,Design Compiler映射的工艺库称为目标库。目标库里包含生成网表所需的单元和设计操作环境的定义。用来编译设计的目标库变为设计的局部链接库。Design Compiler使用local_link_library属性来保存这些信息。

2)分解参考单元(resolving cell references)

Design Compiler用来分解参考单元的工艺库称为链接库。除了工艺库,链接库也包含设计文件。链接库包含映射后网表中的单元描述。

链接库包括局部链接库(local_link_library attribute)和系统链接库(link_library variable)。

3)计算定时数值和路径延迟

链接库定义了延迟模型,用来计算定时数值和路径延迟。

4)计算功耗

2-3-1-2 符号库

符号库定义了图形符号,用来表示设计电路图中的库单元。符号库由半导体厂家提供和维护。

Design Compiler用符号库来产生设计电路图,但必须用Design Analyzer查看设计电路图。当生成电路图时,Design Compiler将网表中的单元与符号库中的单元一一映射。

2-3-1-3 设计工具库

设计工具库是可重复使用的电路设计的自建模块,与Synopsys综合环境紧密结合。Synopsys提供了许多实现内建HDL算子的设计工具元件。这些算子包括+、-、*、<、>、<=、>=,通过if和case语句来说明这些算子。

用户自己可以利用设计工具开发器来开发额外的设计工具库,也可以从Synopsys或者第三方获取。

2-3-2 指定库

使用dc_shell变量来指定Design Compiler使用的库。表2.2列出了库的变量名:

表2.2 库变量

库类型变量默认值扩展名

目标库target_library {“your_library.db”}.db

链接库link_library {“*”,“your_library.db

.db

”}

符号库symbol_library {“your_library.sdb”}.sdb 设计工具库synthetic_library {} .sldb

1)使用库的搜索路径

可以使用完全路径或只是文件名称来指定库的位置。Design Compiler利用search_path变量中定义的搜索路径来查找库文件。默认情况下,搜索路径包括当前工作目录和$SYNOPSYS/libraries/syn。Design Compiler从search_path变量定义的最左边的目录开始搜索库文件,使用它找到的第一个匹配的库文件。

举例,假设你的工艺库叫my_lib.db,在lib目录和vhdl目录下。给定下列的搜索路径:

search_path = {lib vhdl} + search_path

Design Compiler利用lib目录中的my_lib.db文件,因为它首先找到lib目录。

你可以利用which命令来了解Design Compiler找到的库文件(按顺序):

dc_shell> which my_lib.db

{"/usr/lib/my_lib.db", "/usr/vhdl/my_lib.db"}

2)指定工艺库

除了你正在执行工艺转换,否则对目标库和链接库指定相同的值。对于链接库,你也应该指定星号(*),这说明Design Compiler同时在搜索内存中的设计。如果link_library变量没有星号,那将不搜索内存中的设计。结果导致在链接过程中,可能找不到设计,使设计变得不可分解。

在指定link_library变量的文件,认为Design Compiler从左到右搜索这些文件,当它找到一个参考时停止搜索。在下面这个例子里,内存中的设计在lsi_10k库之前被搜索:

link_library = {"*" lsi_10k.db}

3)指定设计工具库

你无需指定标准的综合库(standard.sldb),它实现了内建的HDL算子。软件自动使用这个库。如果你要使用额外的设计工具库,你必须使用synthetic_library和link_library变量来指定这些库。2-3-3 库的装载

Design Compiler使用二进制的库(工艺库为.db格式、符号库为.sdb格式),在需要的时候自动装载这些库。

如果你的库不是合适的二进制格式,使用read_lib命令来编译这些库原始资料。

想手动的装载一个二进制的库,使用read_file命令:

dc_shell> read_file my_lib.db

dc_shell> read_file my_lib.sdb

2-3-4 库的列表

Design Compiler根据库的名称来查询装载在内存中的库。库的原始资料中对库的陈述定义了库的名称。

列出装载在内存中的库的名称,使用list_libs命令:

dc_shell> list_libs

my_lib my_symbol_lib

1

列出路径和文件名称等信息,使用list -libraries命令:

dc_shell> list -libraries

Library File Path

------- ---- ----

my_lib my_lib.db /synopsys/libraries

my_symbol_lib my_lib.sdb /synopsys/libraries

2-3-5 报告库的内容

使用report_lib命令来报告库中的内容。report_lib命令能够报告下列资料:库单位;操作条件;线形负载模型和单元。

2-3-6 保存库

write_lib命令能够以Synopsys数据库、EDIF和VHDL格式来保存一个编译过的库。

第四节 working with designs in memory

Design Compiler从设计文件中把设计读入内存中。任何时候内存中都有许多设计。当一个设计被读入后,你能够多次改变它,像分组和取消组等等。

2-4-1 术语

不同的公司使用不同的术语,这里主要介绍Synopsys综合工具使用的术语。

1)设计(Designs)

设计是对执行逻辑功能的电路的描述。设计以多种设计格式进行描述,像VHDL、Verilog HDL、状态机和电子数据交换格式(Electronic Data Interchange Format,EDIF)。

逻辑级设计用一批布尔方程式来表示,门级设计,如网表,用互相连接的单元来表示。

设计可以相互独立的退出和编译,或者在一个更大的设计里用作子设计。设计可以是层次化的或平直的。

2)层次化设计(Hierarchical Designs)

一个层次化的设计包含一个或更多的设计作为子设计。每一个子设计可以进一步包括子设计,创造多级的设计层次。包含子设计的设计称为父设计(parent designs)。

3)平直设计(Flat Designs)

平直设计不包含子设计,只有一个结构级。它们只有一个库单元。

4)设计对象(Design Objects)

一个设计由单元、线、端口和管脚组成。它也可包含子设计和库单元。

Synopsys命令、参数和约束都是针对设计对象的。

图2.5显示了TOP设计中的设计对象:

图2.5 TOP设计中的设计对象

5)当前设计(Current Design)

正在进行工作的设计称为当前设计。大部分的命令都是针对当前设计的,也就是说,它们根据当前设计的上下文来运转。

6)线(Nets)

连接端口到管脚和管脚和管脚之间的线。

7)端口(Ports)

设计的输入和输出。端口的方向指明为输入、输出和输入输出。

8)管脚(Pins)

设计里的输入和输出单元。子设计的端口是父设计里的管脚。

2-4-2 读入设计

Design Compiler提供了两种方式读入设计:

●read_file命令

dc_shell> read_file -format keyword design_file

●analyze和elaborate命令

dc_shell> analyze -format keyword design_file

dc_shell> elaborate design_name

表2.3总结了使用read_file命令和analyze和elaborate命令读入设计的不同:

表2.3 read_file Versus analyze and elaborate Commands

Comparison read_file command analyze and elaborate

commands

Input formats All formats VHDL, Verilog

When to use Netlists, precompiled designs,

and so forth

Synthesize VHDL or Verilog

Design libraries Cannot store analyzed results

except in design library WORK Can store analyzed results in specified design libraries (use the analyze command option -library or -work)

Generics Cannot pass parameters (must

use directives in HDL) Allows you to set parameter values on

the elaborate command line

Architecture Cannot specify architecture to

be elaborated Allows you to specify architecture to be elaborated

当Design Compiler读入一个设计文件,它以Synopsys内部数据库格式保存在内存中。Design Compiler 的优化过程仅在内存中的设计文件进行工作。

为内存中的设计,Design Compiler使用命名的惯例:path_name / design.db。path_name变量指的是原始文件所在的目录;design变量指的是设计的名称。如果你稍后读入相同名称的设计,Design Compiler将覆盖原来的设计。为防止出现这种现象,在read_file命令后加上-single_file选项。

2-4-2-1 读入.db文件

一个.db文件的版本就是生成它的Design Compiler的版本。要读入一个.db文件,文件必须与Design Compiler具有相同的版本,或版本早于正在运行的Design Compiler的版本。如果你试图读入一个由版本稍后的Design Compiler产生的.db文件,那就会出现错误信息。

2-4-2-2 读入HDL文件

利用下列的程序读入HDL设计:

●从下到上分析顶层设计和所有子设计(满足所有从属)

●详细描述顶层设计和任何一个需要对参数进行赋值或覆盖的子设计

1)分析设计

analyze 命令:读入HDL源文件;检查是否有错;创建一个与HDL独立的中

间格式的HDL库对象;把中间文件存储到你所定义的位置。

如果analyze 命令报错,在HDL源文件里修改错误,然后重新分析。一旦一个设计被分析,只有在它被改变过,才需要重新分析它。

2)详细描述设计

elaborate命令根据分析后提供的中间文件创建一个与工艺无关的设计。在

详细描述过程中,你可以违反默认的参数值。elaborate用设计工具元件来取代HDL算术算子,决定正确的总线宽度。

2-4-3 内存中的设计清单

利用list_designs命令来列出装载在内存中的设计的名称:

dc_shell> list_designs

A (*)

B C

1

紧靠设计A的星号(*)表示设计A是当前设计。

利用-show_file选项来列出与设计名称相对应的内存文件名称:

dc_shell> l ist_designs -show_file

/user1/designs/design_A/A.db

A (*)

/home/designer/dc/B.db

B C

1

紧靠设计A的星号(*)表示设计A是正在工作的设计。文件B.db包含设计B和C。

利用list_duplicate_designs命令来检查重复的设计:

dc_shell> list_duplicate_designs

Warning: Multiple designs in memory with the same design

name.

Design File Path

------ ---- ----

seq2 A.db /home/designer/dc

seq2 B.db /home/designer/dc

1

2-4-4 设置当前设计

current_design指向当前设计,按下列方式设置:

(1)read_file命令

当一个read_file命令成功完成,它将读入的设计设置为当前设计:

dc_shell> read_file -format edif MY_DESIGN.edif

Loading edif file ’/designs/ex/MY_DESIGN.edif’

Current design is now ’/designs/ex/

MY_DESIGN.edif:MY_DESIGN’

{"MY_DESIGN"}

(2)current_design命令

利用这个命令可设置任何一个内存中的设计为当前设计:

dc_shell> current_design ANY_DESIGN

Current design is ’ANY_DESIGN’.

{"ANY_DESIGN"}

显示当前设计的名称,输入:

dc_shell> list current_design

current_design = "/usr/home/designs/

my_design.db:my_design"

1

2-4-5 设计的链接

要完成一个设计,它就必须与涉及到的库元件和设计链接。对于每一个子设计,必然有一个基准,将子设计或元件与链接库相连。这个过程称为设计链接或基准分解。

Design Compiler执行下列步骤来完成基准分解:

(1)决定当前设计和它的层次引用哪些库元件和子设计;

(2)搜索链接库,查找这些引用;

(3)将设计与查找到的引用链接。

Design Compiler首先搜索local_link_library参数定义的库和设计文件,然后再搜索link_library变量中定义的库和设计文件。

在一个分层的设计中,Design Compiler只考虑顶层设计的局部链接库,而忽略与子设计相关的局部链接库。

Design Compiler使用第一找到的基准。如果它查找到了具有相同名称的额外的基准,将会产生一个警告信息来识别这个忽视的、重复的基准。如果Design Compiler没有找到基准,警告信息建议该基准不能被分解。

图2.6显示了在链接库、单元和基准之间的链接过程,在这个例子里,Design Compiler 在LIBRARY_2

工艺库里找到了库元件NAND2;在设计文件里找到了子设计MULTIPLIER 。

图2.6 分解基准

你可以手动地或自动地进行设计的链接。

(1)手动链接 用link 命令来手动地链接设计。在开始链接过程前,link 命令移走现有的链接。 (2)自动链接

下列的dc_shell 命令自动链接设计:

? compile

? create_schematic ? group ? check_design

? report_timing, report_constraints, and other report_* commands ? compare_design

当执行自动链接时,它并不移走现有的链接。自动链接过程只工作于未链接的元件和子设计。 2-4-6 设计对象的清单

Design Compiler 提供命令访问不同的设计对象。这些命令涉及当前设计中的设计对象。每一个

命令执行下列操作之一: (1) list:提供最小信息的清单

(2) display:提供包括设计对象属性的报告

(3) return :返回一个清单,可用作其他dc_shell 命令的输入

表2.4列出了命令和它们的操作。 表2.4 Commands to Access Design Objects

Object

Command Action

Instance list_instances report_cell Lists instances and their references. Displays information about instances. Reference report_reference Displays information about references. Por t

report_port report_bus all_inputs all_outputs Displays information about ports. Displays information about bused ports. Returns all input ports. Returns all output ports. Net report_net report_bus Displays information about nets. Displays information about bused nets. Clock

report_clock all_clocks Displays information about clocks. Returns all clocks.

Register all_registers Returns all registers.

2-4-7 指定设计对象

你可以利用相对路径和绝对路径来指定设计对象。

1)使用相对路径

如果你使用相对路径来指定设计对象,它就必须在当前设计里。指定相对于当前实例的路径。当前实例是指当前设计里基准的构架。默认情况是,当前实例是当前设计的最高层。利用current_instance命令改变当前实例。

举个例子,在Count_16设计里U1和U15单元上设置dont_touch参数,可以输入:

dc_shell> current_design Count_16

Current design is ’Count_16’.

{"Count_16"}

dc_shell> set_dont_touch U1/U15

or

dc_shell> current_design Count_16

Current design is ’Count_16’.

{"Count_16"}

dc_shell> current_instance U1

Current insta nce is ’/Count_16/U1’.

"/Count_16/U1"

dc_shell> set_dont_touch U15

2)使用绝对路径

当使用绝对路径来指定设计对象时,对象可以是内存中的任何设计。

语法如下:[ file :] design/object

举个例子,在Count_16设计里U1和U15单元上设置dont_touch参数,可以输入:

dc_shell> set_dont_touch \

/usr/designs/Count_16.db:Count_16/U1/U5

2-4-8 创造设计

create_design命令创造了一个新的设计。内存文件名称为my_design.db,路径为当前工作目录。

dc_shell> create_design my_design

Creating design ’my_design’ in file ’my_design.db’.

1

dc_shell> list_designs -show_file

/designs/A.db

A (*)

/designs/B.db

B

/usr/work/my_design.db

my_design

1

利用适当的create命令(如create_clock,create_cell,create_port)给新的设计增加设计对

象。

2-4-9 复制设计

copy_design命令复制内存中的一个设计,并重新命名。新设计与原设计具有相同路径和内存文件。

dc_shell> copy_design A A_NEW

Copying design ’A’ to ’A_NEW’

1

dc_shell> list_designs -show_file

/designs/A.db

A A_NEW

/designs/B.db

B

1

你可以利用copy_design和change_link命令来手动创建唯一的实例。举个例子,假设一个设计有两个相同的单元,U1和U2,都与COMP链接。输入下列命令来创建唯一的实例:

dc_shell> copy_design COMP COMP1

Performing copy_design on design ’COMP’.

Copying design ’COMP’ to ’COMP1’

1

dc_shell> change_link U1 COMP1

Performing cha nge_link on cell ’U1’.

1

dc_shell> copy_design COMP COMP2

Performing copy_design on design ’COMP’.

Copying design ’COMP’ to ’COMP2’

1

dc_shell> change_link U2 COMP2

Performing change_link on cell ’U2’.

1

2-4-10 重命名设计

rename_design命令对内存中的设计重新命名。

dc_shell> list_designs -show_file

/designs/X.db

A B

1

dc_shell> rename_design A A_NEW

Moving design ’A’ to ’A_NEW’

1

dc_shell> list_designs -show_file

/designs/X.db

A_NEW B

1

注意:重新命名设计可能导致产生链接过程中无法分解的基准。

2-4-11改变设计层次

如果可能,在你的HDL描述反映设计划分。如果你的HDL代码已经编写好,Design Compiler允许你改变设计层次而不要修改HDL描述。

命令report_hierarchy用来显示设计层次。在做改变和验证层次改变前,利用该命令来了解当前设计层次。Design Compiler提供下列的层次操作能力:

? 增加层次的级数

? 移走层次

? 从不同的子设计合并单元

2-4-11-1 增加层次级数

增加一级层次称为分组。通过将单元或相关元件分组进子设计,可以创建

一级层次。

1)单元分组形成子设计

命令group将设计中的单元(实例)分组进一个新的子设计,创建了一个新的层次。一个新的单元取代成组的单元。

新的子设计的端口以设计中与它们相连的线命名。新的子设计的每一个端口的方向由相应的线的管脚决定。

为利用group命令创建一个新的子设计,在命令行中指定下列的变量和选项:

●象命令行变量,指定新的子设计中包括的单元。所有的单元都必须是当前

实例的孩子。你可以用-except选项从指定列表中排除单元。

●利用-design_name选项指定新的子设计的名称

●利用-cell_name选项指定新的实例名称。如果你没有指定实例名称,Design

Compiler会为你创建一个。创建的实例名称格式为Un,此处n是指未用的单元数目。

举个例子,三个单元分组形成名为sample一个新的子设计,输入:

dc_shell> group {cell1, cell2, cell3} -design_name sample

2)相关元件分组形成子设计

你也可以利用group命令(但带不同的选项)来分组相关元件,形成子设计。

为分组相关元件,

●利用表2.5中所示的选项之一,指定元件类型。

表2.5 Component Grouping Options

Component Options

Bused gates -hdl_bussed

Combinational logic -logic

Finite state machines -fsm

HDL blocks -hdl_all_blocks

-hdl_block block_name

PLA specifications -pla

●利用-design_name选项指定新的子设计的名称

●利用-cell_name选项指定新的实例名称(可选)。如果你没有指定实例名

称,Design Compiler会为你创建一个。创建的实例名称格式为Un,此处n是指未用的单元数目。

2-4-11-2 移走层次

移走层次称为取消组。取消组移走指定子设计的层次,将子设计与周围的逻辑合并。

有两种方法对设计取消分组:

●利用ungroup命令直接取消设计的分组;

●优化时利用set_ungroup命令或者在运行compiler命令时,利用-ungroup_all选项。

赋予dont_touch属性的设计不能被取消组。

1)直接取消设计分组

命令ungroup直接取消一个或多个设计的分组。

为取消设计的分组,

●象命令行变量,指定取消分组的单元。所有的单元都必须是当前实例的孩

子。为取消当前实例所有子层次的分组,指定-all选项取代提供一个单元列表。默认情况下,ungroup 命令只取消每一个单元的一个层次。指定-flatten选项,实现每一个单元的取消分组的循环,直到移走所有的层次。

●为取消分组的单元指定前缀(可选的)。如果你不指定前缀,Design Compiler

使用old_cell_name/前缀。如果你用了-flatten选项,就无需指定前缀。如果指定的或默认的前缀没有创建一个独一无二的名称,Design Compiler将在单元名称后加一个数字使其成为独一无二的。

举个例子,想对几个单元取消组,输入:

dc_shell> ungroup {high_decoder_cell, low_decoder_cell}

创建一个新的单元,取消单元U1的组并指定前缀,输入:

dc_shell> ungroup U1 -prefix "U1_"

为完全地展平当前设计,输入:

dc_shell> ungroup -all -flatten

2)优化时取消设计分组

优化时要取消所有设计层次,在运行compiler命令时选择-ungroup_all选项:dc_shell> compile -ungroup_all

为取消指定单元或设计的分组,在运行compiler命令前使用set_ungroup命令。如果你对某一单元设置了ungroup参数,那在优化时Design Compiler就取消该单元的组。如果你对某一设计设置了ungroup参数,那优化时Design Compiler就取消设计中所有引用的单元的组。

举个例子,在优化时取消单元U1的组,输入下列命令:

dc_shell> set_ungroup U1

dc_shell> compile

为了解一个对象是否设置了ungroup参数,使用get_attribute命令:

dc_shell> get_attribute object ungroup

为取消ungroup参数,使用remove_attribute命令或设置ungroup参数为假:

dc_shell> set_ungroup object false

2-4-11-3 合并来自不同子设计的单元

为合并来自不同子设计的单元形成一个新的子设计,首先将单元分组形成一个新的设计,然后取消新设计的组。

举个例子,命令顺序创建了一个新设计,alu,包含原先为子设计u_add和u_mult的单元:

dc_shell> group {u_add, u_mult} -design alu

草房子第一篇读后感

草房子第一篇读后感 草房子第一篇读后感(一) 这是我独自读完的第一篇少儿长篇小说。它给我留下了深刻的印象。 书中主要描写了油麻地小学校长家的儿子桑桑刻骨铭心、终身难忘的六年小学生活。六年中,他亲眼目睹或直接参与了一连串看似寻常但又催人泪下、感动人心的故事。在油麻地这里,生活着一群可爱的孩子:顽皮、聪明的桑桑,秃顶的陆鹤,不幸的杜小康以及柔弱、文静的纸月,他们是那么纯真、善良,他们的故事吸引着我,感染着我,不知不觉中伴随着作者的描述我仿佛走进了他们生活的油麻地。 给我印象最深的是陆鹤,他是个秃顶的孩子,因此别人经常嘲笑他,给他取外号,叫他秃鹤。但他特别维护自己的尊严,勇敢的承担了学校参加汇演的秃头角色,并出色的完成了任务,让同学和老师对他刮目相看。 在陆鹤身上我懂得了,人一定要有自尊,要维护好自己的尊严。只有自己尊重自己,别人才会尊重你。 放假了,老师布置了八篇读后感。我和妈妈到龙门书城选购了一本叫《草房子》的书,作者是曹文轩。我起初看着封面,觉得肯定不怎么样,可后来慢慢进入书中后才觉得越来越有味道。直到读完第一篇,我已放不下了。

这本书一共有九篇,分别讲的是秃鹤、纸月、白雀、艾地、红门、细马、药寮等这些地方和人物,其中秃鹤、细马等给我留下了较深的印象。 《草房子》我有很多篇没有一一写到。这本书使我看到了油麻地孩子们的善良纯洁,是一部老少皆宜的小说。其中在油麻地生活了六年的主人公桑桑,就要离开那曾经给他快乐、悲伤、高兴的草房子,他不禁流下了热泪。这六年,是人生启蒙教育的六年;这六年是让桑桑认识好朋友的六年,有快乐的秃鹤、坚强的杜小康、文静的纸月和开朗的细马等等一些形形色色的人物。这六年,是认识善恶美丑的六年,是桑桑刻骨铭心的经历与感动。《草房子》给了我教育非凡的意义,我喜欢《草房子》。 他用长长的好看的脖子,支撑起那么一颗光溜溜的脑袋,这颗脑袋绝无一丝瘢痕,光溜得竟然那么均匀,这是谁?读过《草房子》的人都知道,那就是秃鹤。 秃鹤是油麻地小学三年级小学生,天生不长头发。秃鹤小时侯很喜欢别人摸他的头,而后来慢慢长大,他就不能让人随便摸了,得拿东西换才能摸。再之后,秃鹤便不让人摸了,谁摸跟谁玩命,可能是因为他已有自尊心了,不想受到别人的嘲笑吧! 在一次学校文艺表演中,秃鹤争当角色,并在正式演出时演得出神入化,赢得了大家的好评。秃鹤在那一刻流下了成功激动的眼泪。以前秃鹤总受到别人的嘲笑和歧视,但他并没有因为这些而退学,而表现自己优秀的一面,终于在最后通过自己的努力受到了老师的表

Tuxedo日常监控维护培训-v1-0

Tuxedo日常监控维护培训手册 (V1.0)

修订记录

目录 1.引言 (1) 1.1. 编写目的 (1) 1.2. 参考资料 (1) 2.tuxedo常用操作 (1) 2.1. tuxedo服务的正常启动和停止. (1) 2.2. 查看tuxedo的版本信息 (2) 2.3. tmadmin控制台进入和退出: (2) 2.4. 查看服务信息psr (3) 2.5. 查看交易信息psc (4) 2.6. 查看队列信息pq (5) 2.7. 查看客户端信息pclt (5) 2.8. 查看部分统计信息bbs (6) 2.9. 设置为观察某个节点的进程信息default (6) 2.10. 查看消息发送状态pnw (7) 2.11. dmadmin域控制台的进入和退出: (7) 2.12. 手动连接域 (8) 2.13. 查看域网关的连接情况 (8) 3.tuxedo日常维护 (8) 3.1. 对ubb文件只做语法检查(不真正的load成TUXCONFIG) (8) 3.2. 对dm文件只做语法检查(不真正的load成DMXCONFIG) (9) 3.3. 反编译tuxconfig 文件和dmxconfig文件 (9) 3.4. 如何清除IPC资源 (9) 3.5. sh命令直接执行tuxedo操作 (9) 3.6. 启动tlisten服务 (9) 3.7. 启动多机中备机的BBL (9) 4.tuxedo注意事项、常见问题及解决方法 (10) 4.1. WSL配置参数注意 (10) 4.2. UBB文件中MAX的配置注意 (10) 4.3. tuxedo WS 的配置注意 (10) 4.4. Can’t attach BBL (10) 4.5. 运行一段时间交易失败 (11) 4.6. 常见Tuxedo错误号 (11)

电子级氢氟酸工艺介绍

电子级氢氟酸生产工艺介绍 1 概述 目前国内外制备电子级氢氟酸的常用提纯技术有精馏、蒸馏、亚沸蒸馏、减压蒸馏、气体吸收等技术,这些提纯技术各有特性,各有所长。如亚沸蒸馏技术只能用于制备量少的产品,气体吸收技术可以用于大规模的生产。另外,由于氢氟酸的强腐蚀性,采用蒸馏工艺温度较高时腐蚀会更严重,因此所使用的蒸馏设备一般需用铂、金、银等贵金属或聚四氟乙 烯等抗腐蚀性能力较强的材料来制造。电子级氢氟酸生产装置设计与工艺流程布置密切相关,垂直流向布置,原料( 无水氢氟酸和高纯水) 与中间产物可以依靠重力自上而下流动,高纯氢氟酸的制备在中部,产品过滤、灌装及贮存在底层。此布置可减少泵输送,节省能耗,降低生产成本,同时可避免泵对产品的二次污染。 2 生产工艺 将工业无水氢氟酸经化学预处理后,进入精馏塔通过精馏操作,得到的氟化氢气体经冷却后,在吸收塔中用超纯水吸收,并采用控制喷淋密度、气液比等方法使电子级氢氟酸进一步纯化,随后经μm以下超滤工序,最后在密闭洁净环境条件下( 百级以下) 进行灌装得到最终产品———电子级氢氟酸。 3生产方法的难点 分析控制与产品检测要求高。制备电子级氢氟酸所应用的测试仪器如下: (1)电感耦合等离子高频质谱分析仪( ICP - MS);(2)电感耦合等离子原子发射分析仪( ICP - AES);(3)原子吸收分光光度计;(4)氧原子发生无焰原子吸收分析仪; (5)离子色谱分析仪;(6)激光散射液体微粒计数器;(7)水表面杂质分析系统; (8)原子间力显微镜;(9)光学显微镜微粒计数器;(10)扫描电子显微镜;(11)光学膜厚测定和表面仿形仪;(12)表面张力测定仪;(13) 空气中尘埃微粒测定仪;(14)水电阻率测定仪。 对水质要求高,要求水的电阻率≥Ω·cm。 高纯水是生产电子氢氟酸中不可缺少的原料,也是包装容器的清洗剂,其纯度将直接影响到电子级氢氟酸的产品质量。高纯水的主要控制指标是电阻率和固

草房子一二章读后感[学习资料]

草房子一二章读后感 草房子是作家曹文轩创作的一部长篇小说。作品中讲述了男孩桑桑刻骨铭心,终身难忘的六年小学生活。下面给大家带来草房子一二章读后感,希望能让大家对这部作品有更多的了解! 草房子一二章读后感读罢《草房子》第二章后,我非常敬佩小女孩纸月。她是一个非常懂事、漂亮的女孩,很小的时候就失去了妈妈,跟着外婆长大的,在校学习非常努力。 纸月在板仓上学,常常受到坏孩子欺负。当她病好之后,上学总是迟到,老师一再提醒纸月。桑桑知道后,特意赶到板仓,了解纸月到底怎么了。知道情况后,桑桑巧用计谋,和纸月坐船一起去上学。桑桑为了保护纸月,打伤了三名坏男孩,桑桑的父亲只得给坏男孩赔礼道歉。从此以后,桑桑和纸月便前往油麻地小学。 读了之后,我心里想:我现在一定要珍惜与同学之间的感情。当同学遇到困难的时候,我要尽我的力量帮助他们,同样,当同学们取得成绩的时候,我也要为他们高兴和骄傲,我愿我们的小学生活快乐无比。 纸月给我留下了美好的回忆,从而我也懂得了,当困难来临的时候,我们只有与困难抗争,才能战胜困难,取得成绩。纸月自信、聪明、爱学习等优点深深地印在我的脑海里。

草房子一二章读后感《草房子》这本书的第二章叫纸月。纸月也是这本书里的“主角”。 在一个秋天的下午,纸月的外婆带着她到了桑桑家的院子里,那是桑桑正在喂他的白鸽,突然白鸽呼啦一下飞了起来,桑桑也看到了害怕白鸽的纸月。原来,纸月的外婆带纸月来这里,是为了找桑桑的爸爸桑校长,让纸月转到桑校长的学校去上学,但起初桑校长没同意,但后来他决定再商量一下。后来,纸月终于可以去桑校长的学校上学了。 桑桑的母亲很喜欢纸月,以为纸月在以前的板仓小学受到了坏孩子的欺负,很同情纸月。 后来在上学的期间,纸月引起了很多孩子的疑惑:他为什么要跑这么远来这里上学呢?但是几天后大家就不再去疑惑了。她先是与女生说了话,后来又和男生们说了话,大家都熟悉起来了。但是纸月还没有主动和第一个认识的桑桑说话。不过,那也没什么。 不久以后,大家还知道了一点:纸月是一个很了不起的女孩子。他大概是油麻地小学的学生里毛笔字写得最好的了。后来桑校长知道了,就问纸月谁教的?纸月却说没有人教。后来蒋一轮老师又知道纸月还会背很多古诗。不过后来桑校长明白了:油麻地小学收了这么一个不错的女孩儿。 草房子一二章读后感最近,我读了《草房子》第二章,它里面的内容深深地感动了我。

中国主要农产品批发市场汇总

北京农产品批发市场(17) ·北京城北回龙观大钟寺商品交易市场? ·北京岳各庄农产品市场? ·北京新发地农产品有限公司? ·北京八里桥农产品中心批发市场? ·北京昌平水屯批发市场? ·北京大洋路农副产品批发市场? ·北京顺鑫石门农副产品批发市场? ·北京日上综合商品批发市场? ·北京玉泉路粮油批发市场? ·北京锦绣大地农副产品批发市场? ·北京城北回龙观大钟寺商品交易市场? ·北京市西沙窝农副产品批发市场? ·北京玉泉路粮油批发市场? ·北京南三环玉泉营果菜批发中心? ·北京大兴大庄农副产品批发市场? ·北京怀柔南华农贸批发市场? ·北京市良乡城东农副产品交易市场中心?? ? ? 上海农产品批发市场(3) ·上海曹安批发市场? ·上海农产品中心批发市场有限公司? ·上海华中果品交易中心??

? ? 天津农产品批发市场(10) ·天津何庄子批发市场? ·天津金钟蔬菜批发市场? ·天津南开区红旗农贸批发市场? ·天津市当城无公害蔬菜批发市场? ·天津武清大沙河批发市场? ·天津金钟蔬菜粮油批发市场? ·天津红旗农贸批发市场? ·天津市当城无公害蔬菜批发市场? ·天津武清大沙河批发市场? ·天津市王顶堤水产批发市场? ? ? 重庆农产品批发市场(3) ·重庆江北区盘溪农贸市场? ·重庆菜园坝果品批发市场? ·重庆农副产品综合批发市场?? ? ? 河北农产品批发市场(28)

·河北省石家庄桥西蔬菜中心批发市场? ·河北乐亭冀东果菜批发市场? ·河北石家庄高邑蔬菜大市场? ·河北省永年县南大堡蔬菜批发市场? ·河北青县盘古蔬菜批发市场? ·河北魏县天仙果菜批发交易市场? ·河北省衡水市东明蔬菜果品批发市场? ·河北怀来县京西果菜批发市场? ·河北三河市建兴农副产品批发市场? ·河北秦皇岛昌黎农副产品批发市场? ·河北饶阳县瓜菜果品交易市场? ·河北唐山姚王庄果菜批发市场? ·河北无极县蔬菜批发市场? ·河北秦皇岛海阳农副产品批发? ·河北馆陶金凤禽蛋批发市场? ·河北固安蔬菜批发市场? ·河北保定蔬菜果品批发市场? ·河北永年县中原农副产品中心批发市场? ·河北邯郸意蓝德农产品批发市场? ·河北省张家口市怀来县京西果菜批发市场? ·河北省邢台市食品蔬菜集团公司顺兴蔬菜批发市场? ·张家口市宣化盛发蔬菜水产副食品综合交易市场? ·中国沧州红枣交易市场? ·唐山市荷花坑市场经营管理有限公司? ·邯郸市农业科技贸易城? ·河北保定三丰粮油批发市场? ·沧州崔尔庄枣业有限公司? ·河北冀南蔬菜瓜果批发市场? ?

通过wtc使tuxedo与weblogic通信开发

标题:通过wtc、jolt进行tuxedo与weblogic通信开发 [评论] 作者:李振嘉(dev2dev ID:lizhenjia) (一)摘要 bea tuxedo与weblogic作为业界优秀的交易与应用服务器中间件产品,在电信,银行,金融等领域广泛应用,通常由tuxedo处理系统的核心业务,weblogic将业务应用扩展到internet平台,实现电子商务,由weblogic调用tuxedo的服务或者由tuxedo的服务调用通过weblogic部署的ejb,所以tuxedo与weblogic 之间的互连通信会经常遇到,本文通过2个例子介绍tuxedo与weblogic通信的配置与开发,两个例子分别通过wtc(weblogic tuxedo connector)、jolt实现weblogic与tuxedo通信的配置与开发.本文所有例子程序与配置均在Sun Solaris,weblogic8.1,tuxedo8.0平台上进行,如在windows等其他平台开发配置方法大同小异;另外为了减少篇幅文中涉及的例子代码没有全部罗列,只选择粘贴了关键部分的代码. (二)通过wtc进行tuxedo与weblogic通信的配置与开发 1)域间通信以及wtc介绍 Tuxedo的域间通信进程介绍 Wtc是tuxedo通过域间通信实现的,所以需要tuxedo启动用于域间通信的进程,介绍wtc之前先介绍以下几个域间通信的进程. *DMADM(DOMAIN ADMINISTRATOR SERVER) 管理域的server,在运行时管理BDMCONFIG,对已登记的gateway group提供支持,在tuxedo系统中,只能有一个DMADM进程,且不能对它采用MSSQ,不能有REPLYQ. *GWADM(GATEWAY ADMINISTRATOR SERVER)

电子产品生产工艺介绍

电子产品生产工艺介绍 部门: xxx 时间: xxx 整理范文,仅供参考,可下载自行编辑

电子产品生产工艺介绍 一、电子产品机械装配工艺 电子产品安装时,需要先将各种零件固定在底座或底板上,才能进行电气安装。零件的固定方法通常有螺钉连接、铆钉连接、焊接及胶接等几种形式。电子产品在不同的环境中,可能受到振动、冲击等机械力作用,因此装配必须牢固、可靠。 b5E2RGbCAP 1 、螺钉连接 螺钉连接是指采用螺钉、螺栓、螺母及各种垫圈<平垫圈、弹簧垫圈、内齿弹性垫圈、外齿弹性垫圈、波形垫圈等),将各类元器件和零、部件紧固地安装在机器规定位置上的过程。电子装配中螺钉连接应用很多,它具有装拆简单、连接牢靠、p1EanqFDPw 调节更换方便等优点。 (1> 元器件安装事项 安装时应按工艺顺序进行,並符合图纸的规定。当安装部位全是金属件时,应使用平垫圈,其目的是保护安装表面不被螺钉或螺母擦伤,增加螺母的接触面积,减小连接件表面的压强。DXDiTa9E3d 紧固成组螺钉时,必须按照一定的顺序,交叉、对称地逐个拧紧。若把某一个螺钉拧得很紧,就容易造成被紧固件倾斜或扭曲;再拧紧其他螺钉时,会使强度不高的零件<如塑料、陶瓷和胶木件等)碎裂。RTCrpUDGiT

螺钉拧紧的程度和顺序对装配精度和产品寿命有很大关系,切不可忽视。 (2> 防止紧固件松动的措施 为了防止紧固件松动或脱落,应采取相应的措施,如下图所示。其中图<a)是利用双螺母互锁起到止动作用,一般在机箱接线柱上用得较多;图<b)是用弹簧垫圈制止螺钉松动,常用于紧固部件为金属的元器件;图<c)是靠橡皮垫圈起止动作用;图<d)是用开口销钉止动,多用于有特殊要求的器件的大螺母上。5PCzVD7HxA (3> 常用元器件的安装 a. 胶木件和塑料件的安装胶木件脆而易碎,安装时应在接触位置上加软垫<如橡皮垫、软木垫、铝垫、石棉垫等),以便其承受压力均匀。切不可使用弹簧垫圈。塑料件一般较软、易变形,可采用大外径钢垫圈,以减小单位面积的压力。jLBHrnAILg b. 大功率晶体管散热片的安装大功率晶体管都应安装散热片。散热片有些出厂时即装好了,有些则要在装配时将散热片装在管子上,如下图所示。安装时,散热片与晶体管应接触良好,表面要清洁。如果在两者之间加云母片,并在云母片两面涂些硅脂,使接触面密合,可提高散热效率。xHAQX74J0X

农产品批发综合大市场的项目报告

农产品批发综合大市场的项目报告 2017年4月以来,我们经过反复考察、论证分析后,认为目前在国家政策支持下以及市场的需求下,我公司在投资农产品批发综合大市场的项目上,将有关情况具体汇报如下: 一、公司简介 中国供销合作总社---是国务院批准成立的我国大型涉农流通产业集团,是中华全国供销合作总社全力打造的系统企业“国家队”,长期主体信用等级为3A级,位居2015年中国500强企业第83位,中国服务业500张企业第31位。截至2015年底,中国供销集团总资产达到近1000亿元,经营规模近1400亿元。现有全资和控股子公司17家,职能部门6个,主营业务涵盖农资、棉花、再生资源、农村超市经营,农产品物流,房地产开发,电子商务,金融服务,国际贸晚,海洋经济,石油成品油和旅游酒店经营等业务领域。 中合置业有限公司于1977年成立,是受中华全国供销总社直接领导的新型综合性公司。公司紧密围绕中央精神,在发展国际业务的基础上,全面拓展国内业务2010年成为中国供销集团唯一地产板块企业,并积极参与中国新型城镇

化和农业现代商贸流通体系建设,坚持供销合作社“为农服务”的宗旨,全力打造和强化供销社“商业流通主渠道”的龙头地位。 公司以建设、运营”农产品批发综合大市场“项目为核心,建立可溯源农产品批发电高、进出口业务、融资租赁、产业基金等主要业务,商品内外贸业务相结合的相关多元化业务体系。 集团公司在建的农产品批发市场超过50个,建成运营的市场6个,到2020年前完成全国建设100个重要节点市场的网络布局,实现农副产品的”互联互通,买全国卖全国”,打破各地农副产品的销售瓶颈,同时带动各地农业、加工业和配套商业的发展。从根本上解决“农民卖难,市民买贵”的问题。以中国供销农产品批发综合大市场为龙头,以各乡镇的供销合作综合服务中心、种植大户、农业合作社、经营大户、农业产业大户等为依托,形成一二三产业相融合的农业第六产业为核心,建立起新时期中国供销流通为龙头的全产业链农业产业现代化。 二、新项目定位规划意向

原煤工艺系统简介

3.3 工艺系统说明 3.3.1 一号转载点 根据招标文件提供的资料,井下毛煤存在特大块 (400mm*300mm*300mm),这些大块物料撕胶带、砸设备、砸仓底、堵溜槽,给选煤厂的正常生产带来极大的危害。因此,在原煤仓之前首先篦除特大块物料并将井下原煤破碎至 200mm 以下,为后续作业正常生产创造条件。 一号转载点采用钢筋混凝土框架结构形式,内设 1 台 10t 电动葫芦,用于日常检修维护。车间内其它部位考虑了起吊和检修空间、起吊运输梁或吊环等起吊设施。转载点内布置有楼梯间、提升孔。 矿井原煤进入一号转载点 2 台固定筛(筛孔 600mm),筛上+600mm 特大块直接落到室外的矸石池外排,筛下 600-0mm 进入 2 台双齿辊破碎机破碎至 200mm 以下后经皮带转载进入原煤仓储存。 室内各层设有冲洗水和地漏,到一层通过地沟汇集至集水坑,坑内布置一台斗提机,坑内煤泥经斗提机脱水提升后落入底层原煤皮带上,煤泥水由扫地泵回收转排至主厂房矸石脱介筛。 3.3.2 原煤仓 原煤采用 2 个φ30m 钢筋混凝土圆筒仓储存,单仓储量为 2.8 万t。原煤仓设有 1 台载货电梯,大的设备部件通过原煤仓顶部设置的电动葫芦由提升孔提升。人员上下通行及设备部件运输提升十分方便安全。原煤仓布置时,充分考虑了人行通道、设备提升及检修空间。仓上、仓下均设有排污泵。

原煤仓上通过 2 台刮板配仓,每个仓下设 16 台甲带式给煤机,仓内原煤通过给煤机给到仓下 2 条转载皮带上,皮带机头溜槽分岔,仓下原煤可以经 2 号转载点的任一条皮带转载进入主厂房洗选。室内冲洗水通过地沟汇集至 2 个集水坑,每个坑内布置 1 台斗提机,坑内煤泥经斗提机脱水提升后落入仓下原煤转载皮带上,煤泥水由扫地泵转排至 2号转载点集水坑,再由泵打至主厂房脱介筛。 在东侧的原煤仓下向东布置一条转载皮带供仓内原煤临时地销。在原煤仓的东侧预留后期一个原煤仓(φ30m)的位置。一期原煤仓上配仓刮板机的驱动装置按照后期配三个原煤仓的情况一次考虑到位。3.3.3 主厂房 主厂房采用钢筋混凝土框架结构,楼面为钢筋混凝土楼面,厂房内按双系统布置, 原煤分级系统、块煤脱泥系统、块煤分选系统、末煤脱水系统、粗煤泥回收系统、固液分离系统、压滤系统进行大厅式分区布置,系统按照。车间内分区设置有 1 台 20/5t 电动双梁起重机(大钩用于设备的整机起吊、更换检修、安装,小钩用于日常检修维护)、1 台 10t 电动单梁起重机、3 台 10t 电动葫芦、2 台 5t 电动葫芦。厂房内主要区域均在 7台起重设备的操控之下,设备安装、检修、提升极为方便。所有设备的主要部位均考虑了起吊和检修空间、起吊运输梁或吊环等起吊设施,并配有足够吨位的手动葫芦和手动单轨小车。 主厂房内考虑足够的人行空间,每层之间至少留有 3 个主要人行通道。所有人行爬梯角度小于 45°,宽度不小于 1m。合理设置设备的

电子产品包装的几个特殊工艺技术介绍

电子产品包装的几个特殊工艺技术介绍 防震包装工艺技术 电子产品包装的基本功能,就是要达到最大限度地保护产品的目的,这就要求包装必须达到一定的保护性能。采用防震包装就是最基本的技术措施,防震包装又称为缓冲包装,缓冲包装主要材料包括蜂窝纸板、护角纸板、瓦楞纸板、塑料泡沫、气泡薄膜、皱纹纸等。电子产品缓冲包装一般是在瓦楞纸箱、纸盒的基础上,在内包装增加塑料泡沫、气泡薄膜或瓦楞垫片等,使产品达到防震的目的。 防潮包装工艺技术 电子产品的防潮包装,有在产品内包装加一层塑料薄膜、铝箔纸、蜡纸等防水包装材料,以及在包装中置放干燥剂等。还有的采用对瓦楞纸盒、纸箱表面进行上光、磨光、覆膜、涂蜡工艺处理,或采用淋膜机对纸板表面喷淋一层厚度在0.01~0.07mm的聚乙烯或聚丙烯等材料,使纸板的防潮、防污等性能得到大幅度的提高,也使纸板气密性和抗拉强度得到较好的提高。 防热包装工艺技术 电子产品的防热包装材料有采用铝箔纸,铝箔反光能起反辐射隔热作用,抵抗外界热能的传导,并具有良好的防潮功能。还有的采用在包装上涂布丙烯酸纳米微乳液制成的水性热反应隔热涂料,这种纳米隔热环保涂层材料,能有效反射红外线,减少包装材料对热能的吸收,并具有防腐、防水、隔热优点。

防静电工艺技术 防静电屏蔽袋是适用于PCB、IC卡、MP3等静电敏感产品的包装,可防止静电释放给电子产品带来的损害。对静电比较敏感的电子产品,采用防静电屏蔽袋包装后,能有效抑制静电的产生,确保电子产品的质量不受静电的破坏。防静电屏蔽袋的原理是多层复合结构形成效应以保护袋内物品与静电场隔离。其里层是由聚乙烯组成,可以防止在袋内产生静电。

草房子第2章读后感

草房子第2章读后感 时间:2017/1/9栏目:读后感 草房子第2章读后感(一) 一个叫作油麻地的乡村小学校给男孩桑桑留下了快乐又难忘的童年记忆――天生秃顶的秃鹤出于对尊严的执着坚守,而演出的令人发笑又令人心酸的悲喜剧;在孩子眼中显得扑朔迷离的少女纸月的身世之谜;令桑桑自疚不已而实际上注定难成正果的蒋老师与白雀姐的短暂爱情;从精神与物质的顶峰,猝然跌落到最底层的不幸少年杜小康与厄运抗争的艰难历程;当校长的严父终于流露出来的舐犊之情和初涉人生的桑桑对生与死的最初体验……本剧把主人公桑桑童年亲历的几个平常又动人的小故事有机地联系起来,真诚又富于诗意地歌颂了至真、至善、至美的人间情感,展示了富有独特风情的人生画卷。 秃鹤,因为天生没有头发而受到人们的嘲笑、戏弄,他以执着坚韧的抗争终于得到了大家的尊重。杜小康,因为家里遭到变故,由富裕跌入贫穷,但他没消沉,借债摆摊,坚强地要重振家业,再入校内。细马,到了叔叔家,大水冲毁了住房,养父病死,养母发疯,都没有压垮他,他历尽艰苦找回了养母,发誓一定要给养母造一幢大房子。桑桑,年仅十四岁却得了重病,大小医院回头,偏方土方无效,等死的他并没有绝望,而决心在有限的时间里为人多做点好事,他又牢记着温老师的“不怕”两字,哼着温老师的歌,忍着喝了一碗碗苦药,终于战胜了病魔。这些都是坚韧。 坚韧就是抗争,是一种积极的挑战。大家都瞧不起秃鹤,他就曾采用许多同大家对着干的办法去抗争,甚至在校际广播操比赛中故意亮出光头惹笑大家,不惜破坏学校的荣誉。然而这种消极“抗争”得到的只是大家对他的厌恶。后来他想通了,积极参加集体活动,在校际文艺比赛前,挺身而出,亮出光头扮演匪军连长,比赛获得最高奖,他也终于得到了大家的喜爱和尊重。坚韧就是一种平静心态。桑桑知道自己得了不治之症,但他的心态始终是平静的。他没有过多的考虑自己,而是决心在有限的时间里为人多做些好事。他为了实现诺言,带着重病,带妹妹去城里玩,艰难地背着妹妹登上了城楼,为的是让妹妹高兴。就是这种平静的心态,才能使他有信心有毅力去向病魔作斗争。 油麻地的草房子是坚韧的,因为盖房子的不是一般的稻草或麦秸,而是生长在海滩上,受着海风吹打、阳光曝晒,很有韧性的草。从草房子中,我悟出了坚忍不拔的高贵品质。 草房子第2章读后感(二) 《草房子》这本书的第二章叫纸月。纸月也是这本书里的“主角”。 在一个秋天的下午,纸月的外婆带着她到了桑桑家的院子里,那是桑桑正在喂他的白鸽,突然白鸽呼啦一下飞了起来,桑桑也看到了害怕白鸽的纸月。原来,纸月的外婆带纸月来这

农产品交易市场分析

第2章项目背景 2.1 国外农产品批发市场发展分析 2.1.1 北美模式 2.1.1.1 市场概况 国外农产品批发市场的发展受各国社会体制、经济体制和经济发展水平等的影响,形成了不同的农产品流通交易体制和农产品市场体系,归纳起来主要有三种模式,即:北美模式、东亚模式和西欧模式。 美国、加拿大和澳大利亚是北美模式的主要代表,这些国家农产品生产与流通的主要特征是,农产品产地集中在少数地区,在产区发展大规模企业化经营农场,对农产品价格形成具有主导作用;依托发达的铁路、公路运输系统,农产品能迅速运往大城市,形成城市农产品批发市场;流通环节少、效率高,农产品从产地经物流配送中心,直接到零售商;此外,为使农产品流通高效、快捷,还建有许多专为农产品交易服务的组织。 2.1.1.2 流通模式 以美国为例,其农产品市场体系的特点是,粮食类期货市场发达;果蔬类产地与大型超市、连锁经销网络间的直销比例约占80%;经由批发市场流通销售的

品批发市场均明确定位于公用事业而不是以赢利为目的的公司,批发市场在农产品营销中的作用比较突出,发挥着商品集散、价格形成、信息传递、产销调节和质量控制等多方面的功能。东亚模式虽然仍以小农经营为基础,但批发市场交易和管理的现代化程度都比较高。 2.1.2.2 流通模式 东亚模式的农产品流通主要呈现出以下特点:一是流通渠道环节多,流通成本较高,其流通环节为“生产者——>上市团体——>批发商——>中间批发商——>零售店——>消费者”,导致其利润分配不均;二是流通规范化、法制化、效率高。 2.1. 3.1 市场概况 法国、德国、英国、意大利、荷兰等西欧国家的农产品批发市场是西欧模式的主要代表,这些西欧国家的农产品批发市场形式虽有所不同,但大多数大型批发市场还是坚持公益原则。随着时代的发展,农产品直销比例不断增加。由于西欧国家市场信息网络发达,地域内、国家之间的农产品贸易十分活跃,进出口产品在批发市场占一定比率。 2.1. 3.2 流通模式 西欧农产品流通模式较为特殊,一般以某国为中心,通过该国国际性的批发市场,发挥农产品集散功能。如巴黎郊外的汉吉斯国际批发市场,该市场占地达

第一部分农产品批发市场的基本情况

关于对农业部定点市场进行问卷调查的函 农业部各定点市场: 农产品批发市场是我国农产品流通的主渠道,农业部定点市场是其中的骨干和中坚力量。加强定点市场的建设对于提升我国农产品批发市场的整体水平,促进农产品流通,加快与国际市场的接轨具有重要意义。近年来,各定点市场根据自身实际,牢牢把握农产品流通的特点和发展趋势,不断改革、完善和创新,为促进全国农产品流通和全国大市场的形成,发挥了重要作用。 为准确掌握农业部定点市场的发展现状,总结经验,进一步做好升级改造工作,我司拟对农业部定点市场进行一次全面调查(调查问卷附后,问卷电子版将同时在中国农业信息网“批发市场”栏目里刊登,可下载使用)。请各定点市场认真填写调查问卷,并于2005年7月30日前将问卷寄至我司市场发展处(或通过网络报送)。联系人:谢冬生,联系电话0 (传真) 农业部市场与经济信息司 二〇〇五年七月十二日

附: 农业部定点市场基本情况调查问卷 一、基本情况 1、农产品批发市场名称________________,开业时间____,位于____省(市、自治区)____市(县、区)____镇(乡)。 2、市场占地面积____亩,建筑面积____M2,营业面积____M2,固定摊位__个。 3、市场平均每天客流量____人(次),市场平均每天车流量_____辆(次)。 4、市场注册资金_____元,固定资产总额_____元。 5、市场属性(请在您所选答案前的□中划√,下同。有些问题可以多项选择)(□产地批发市场、□销地批发市场、□集散中心市场、□其他)。 6、市场交易的产品主要有(□蔬菜、□果品、□粮油、□畜禽肉类、□蛋类、□水产品、□花卉、□调料、□副食、□干货、□其他),以_____、____为最多,属于(□专业、□综合)农产品批发市场。 7、市场的开设者(投资方)是_________________________,市场属于(□国有、□集体、□合作、□股份制、□私营)企业。 8、2002、2003、2004年市场的成交量分别为____、____和____万吨,

tuxedo基本操作atmi介绍

系统自带authsvr服务进程,和两个子例程,tpsvrinit(),tpsvrdone。 authsvr:客户端调用tpinit进行认证时,由交易TPAPPAUTH回应。 服务端自动调用tpsvrinit,可以在函数中进行数据库连接和处理命令行参数。 结束时tpsvrdone也被自动调用,可以在函数中断开数据库连接。 这两个例程分别在进程开始时和进程将要结束时,被自动调用。 服务端编程指导: 交易内一次只能接收一个请求,发送一个响应。 交易必须以tpretrurn, tpforward结束。 或交易内使用了tpacall,在返回或转发前,要么等待回应,要么使用tpcancel。 tpreturn一旦被调用,控制权就转移到main函数,未进行显示收取的响应会被丢弃,此时客户端会收到错误。 客户端调用tpcall后,若服务端此时对应的tpreturn成功返回,客户端tpcall才能返回。 客户端调用tpacall后,若服务端此时对应的tpreturn成功返回,客户端tpgetrply才能返回。返回的数据,可由客户端从指针*data处取得。 tpforward调用时,程序逻辑应保证之前的处理都正确,响应被收到。被调用后,交易不再等待响应。main函数取得控制权。被请求的另一个交易负责响应原来的请求。不能将请求转发到自身。 tpreturn 的参数: rval: 表明交易是否成功执行。 rcode:是应用自定义的返回值。客户端可通过tpurcode获得tpreturn的rcode值,而不论交易是否成功。 data: 该缓冲区由客户端传递,服务端可以将回应数据写至此地址。也可以进行tprealloc.而不能tpfree. 服务端也可以自行tpalloc一个缓冲区,并返回给缓冲区,但需要自行若管理。如果发送的数据长度大于已分配的,tuxedo会自动扩大缓冲。 len: 用来指示响应缓冲的长。客户端可据此得知数据是否有变化。 如果客户端需要收到一个响应,而tpreturn自身处理时出错,这时tpcall或tpgetrply会失败,客户端应检查tperrno. 此时客户端的data缓冲区没有变化。若交易返回的消息不符客户端要求,则无法判断应用状态,此时原来的缓冲区保持不变。如果交易超时,响应数据不会被发送。 发布与取消交易名: 当一个服务进程被启动后,它会根据配置文件来向公告板上广告自己有交易名。这通常由buildserver命令执行时完成。 tpcall:

电子工艺实习内容简介

电子工艺实习内容简介

电子工艺实习内容简介 电子工艺实习是工艺性和实践性为主的专业技术基础课,是技能实习,学生通过学习和培训,了解安全用电知识,学会安全操作规程,同时,学生也了解常用元器件及材料的类型、型号和符号,主要性能和一般选用原则以及各类元器件的测量方法。熟悉电子装接工艺的基本知识和要求,掌握电子产品的手工焊接、装配、调试技术。初步学会用EDA技术设计电路原理图。了解电子设计自动化技术,表面贴装技术和其它新技术、新工艺等。最后,学生通过动手制作稳压电源充电器机等电子产品,直接接触到初步的生产技术实践,将电子工艺的基本知识和操作技能紧密联系起来,达到电子工艺实习的目的,并为后续实践课程打下基础。 课程内容 1、安全用电 2、焊接技术 3、常用元器件识别与测量 4、电子产品的装配、调试与检测 5、EDA技术(Protel99SE的设计) 一、安全用电 1、实验室用电常识

1)不用潮湿的手接触电器。 2)电源裸露部分应有绝缘装置(例如电线接头处应裹上绝 缘胶布)。 3)所有电器的金属外壳都应保护接地。 4)实验时,应先连接好电路后才接通电源。实验结束时, 先切断电源再拆线路。 5)修理或安装电器时,应先切断电源。 6)不能用试电笔去试高压电。使用高压电源应有专门的防 护措施。 7)如有人触电,应迅速切断电源,然后进行抢救。 8)测量绝缘电阻可用兆欧表。 9)在需要带电操作的低电压电路实验时用单手比双手操作 安全 10)电动工具上标有“回”表示双重绝缘。 11)实验室内的明、暗插座距地面的高度一般不低于0.3米 12)在潮湿或高温或有导电灰尘的场所,应该用超低电压供 电。在工作地点相对湿度大于75%时,属于危险、易触电环境。 2、人身安全 (1)触电危害 电流对人体的伤害类型可分为两大类:电击和电伤。

草房子第2章读后感_心得体会

草房子第2章读后感 本文是关于心得体会的草房子第2章读后感,感谢您的阅读! 草房子第2章读后感(一) 一个叫作油麻地的乡村小学校给男孩桑桑留下了快乐又难忘的童年记忆DD 天生秃顶的秃鹤出于对尊严的执着坚守,而演出的令人发笑又令人心酸的悲喜剧;在孩子眼中显得扑朔迷离的少女纸月的身世之谜;令桑桑自疚不已而实际上注定难成正果的蒋老师与白雀姐的短暂爱情;从精神与物质的顶峰,猝然跌落到最底层的不幸少年杜小康与厄运抗争的艰难历程;当校长的严父终于流露出来的舐犊之情和初涉人生的桑桑对生与死的最初体验……本剧把主人公桑桑童年亲历的几个平常又动人的小故事有机地联系起来,真诚又富于诗意地歌颂了至真、至善、至美的人间情感,展示了富有独特风情的人生画卷。 秃鹤,因为天生没有头发而受到人们的嘲笑、戏弄,他以执着坚韧的抗争终于得到了大家的尊重。杜小康,因为家里遭到变故,由富裕跌入贫穷,但他没消沉,借债摆摊,坚强地要重振家业,再入校内。细马,到了叔叔家,大水冲毁了住房,养父病死,养母发疯,都没有压垮他,他历尽艰苦找回了养母,发誓一定要给养母造一幢大房子。桑桑,年仅十四岁却得了重病,大小医院回头,偏方土方无效,等死的他并没有绝望,而决心在有限的时间里为人多做点好事,他又牢记着温老师的“不怕”两字,哼着温老师的歌,忍着喝了一碗碗苦药,终于战胜了病魔。这些都是坚韧。 坚韧就是抗争,是一种积极的挑战。大家都瞧不起秃鹤,他就曾采用许多同大家对着干的办法去抗争,甚至在校际广播操比赛中故意亮出光头惹笑大家,不惜破坏学校的荣誉。然而这种消极“抗争”得到的只是大家对他的厌恶。后来他想通了,积极参加集体活动,在校际文艺比赛前,挺身而出,亮出光头扮演匪军连长,比赛获得最高奖,他也终于得到了大家的喜爱和尊重。坚韧就是一种平静心态。桑桑知道自己得了不治之症,但他的心态始终是平静的。他没有过多的考虑自己,而是决心在有限的时间里为人多做些好事。他为了实现诺言,带着重病,带妹妹去城里玩,艰难地背着妹妹登上了城楼,为的是让妹妹高兴。就是这种平静的心态,才能使他有信心有毅力去向病魔作斗争。 油麻地的草房子是坚韧的,因为盖房子的不是一般的稻草或麦秸,而是生长

农产品批发市场建设方案

农产品批发市场建设方案 篇一:农产品批发市场建设项目建设项目实施方案 农产品批发市场建设项目建设项目实施方案 第一章总论 1.1 项目名称及承办单位 1.1.1 项目名称:某地区农产品批发市场 1.1.2 承办单位:某地区威宁资产经营有限责任公司1.1.3 项目拟建地点:某地区市兴宁区三塘镇 1.1.4 可行性研究报告编制单位 单位名称:某院 工程咨询资格证书 资格等级: 甲级 证书编号: 工咨甲********** 发证机关: 国家发展改革委员会 1.2 研究工作的依据与范围 1.2.1 研究工作的依据 a.《农产品批发市场建设与管理指南》农市发[2004]10号

b.《某地区农产品批发市场项目建议书》 c. 某地区农产品批发市场规划位臵图 d. 可行性研究报告编制委托书 e. 建设单位提供的基础资料 f. 相关法律、法规、政策、标准 1.2.2 研究工作范围 本报告的研究工作范围包括:论述项目提出的背景,分析项目建设的必要性和紧迫性,并对市场未来发展的需求进行分析,根据市场需求和建设条件拟定建设规模,根据政府规划和项目特点选择场址,拟定工程技术方案、节能、环境保护、消防、劳动保护与安全防护, 企业组织与劳动定员等方案,估算项目总投资评价项目的财务效益,并进行国民经济评价及风险分析。 1.3 研究工作概况 我公司接受委托后组成了该项目的可行性研究小组,并组织有关专业技术人员到现场调研、收集资料,并与业主及当地政府有关部门领导座谈交流,在此基础上,各专业人员全面展开了研究及编制工作。 1.4 推荐方案与研究结论 1.4.1 市场发展前景与服务范围 广西某地区是中国与东盟最近的经贸交往最活跃的首府城

草房子第二章阅读理解1

《草房子》第二章阅读理解 (一)阅读下列文段,思考问题 对于桑桑,很难说纸月就没有对他说过话。只不过是她没有用嘴说,而是用眼睛说罢了。比如说桑桑在课桌上再架课桌,又架课桌,最后还加了一张小凳,然后玩杂技一样颤颤抖抖地爬到最顶端,到高墙的洞中掏麻雀时,纸月见了,就仰着脸,两手抱着拳放在下巴下,眼睛()得大大的,满是紧张与担忧。这时,桑桑假如看到了这双眼睛,就会听出:“桑桑,你下来吧,下来吧。”再比如说桑桑顺手从地里拔了根胡萝卜,在袖子上搓擦了几下,就“咯吱咯吱”地吃起来时,纸月见了,就会令人觉察不到地( )一下眉头,嘴微微地张着看了一眼桑桑。这时,桑桑假如看到了这双眼睛,就会听出:“桑桑,不洗的萝卜也是吃得的吗?”再比如说桑桑把时间玩光了,来不及去抠算术题了,打算将邻桌的作业本抓过来抄一通时,纸月看见了,就会把眼珠()到眼角上来看桑桑。这时,假如桑桑看到了这双眼睛,就会听出:“桑桑,这样的事也是做得的吗?”又比如说桑桑与人玩篮球,在被对方一个小孩狠咬了一口,胳膊上都流出鲜血来了,也没有将手中的球松掉,还坚持将它投到篮筐里时,纸月看见了,就会用细白的牙齿咬住薄薄的血色似有似无的嘴唇,弯曲的双眉下,眼睛在阳光下()亮点。这时,假如桑桑看到了这双眼睛,就会听出:“桑桑,你真了不起!”还比如说 1.选择合适的词语填空( ) A张挤滑跳着B睁挤转闪着 C睁皱转跳着 D张皱滑闪着 2.“眼睛是心灵的窗户”文中列举了好几种情境下,通过纸月眼神反映了她不同的心理活动,你能接着上文,再写出类似的句子吗?请接着上文续写。 3.这段话主要侧重对纸月的、的描写,纸月与桑桑的交流,不是通过语言,而是通过,而她的一眨眼、一皱眉,桑桑也全然能够领会,并且在她的潜移默化的影响下,桑桑居然有了翻天覆地的变化。这让人更深

农产品批发市场调研报告1

周谷堆农产品批发市场调研报告调研地点周谷堆农产品批发市场 参与人员创新小组全体人员 调研时间2009年10月28~30日 调研目的了解市场现状与存在的问题 小组人员尹利萍尹秀秀张加玲管琪胡胜波

周谷堆农产品批发市场调研报告 一、背景概况 随着经济的发展,人们生活水平的提高,食品尤其是果蔬的安全和质量日益受到人们的广泛重视。冷链是确保食品安全,提高人们生活质量的重要手段。但是在我国冷链这一块发展比较缓慢,没有先进的技术和设备,果蔬损失相当严重,是美国的15倍,尤其在运输中的环节损耗,由于需要二次装卸还有运输中没有很好的制冷,使得果蔬质量下降,很多发生质变,造成了极大的损失。因此合理的优化运输方法和运输设备是亟待解决的问题,这对解决运输问题,和我国农产品远销,以及降低农产品损耗和保持农产品质量具有重要的意义。 近年来,随着我国经济的发展,人们的生活水平、消费水平、消费层次不断提高,人们对食品的消费需求从温饱型向营养调剂型转变,国内消费的肉、蛋、奶、鱼、果蔬等主要农副产品需求量迅速增加,国内生鲜产品市场已基本建立,供给量正逐年上涨。此外,随着农产品贸易的快速增长,包括水产品、畜产品、果蔬在内的生鲜产品在出口农产品总量中占相当比重。2005年,我国出口畜产品达36亿美元,家禽产品达9.1亿美元,水产品达78.9亿美元,同比增长值分别为13.0%、40.8%和13.0%;出口蔬菜680万吨,同比增长13.0%;出口水果364.6万吨,同比增长16.6%。国内外市场对生鲜产品需求的扩张,引发了对于专业化、一体化的生鲜品冷链物流需求的释放。 生鲜产品保鲜期短,极易腐烂变质,因此物流过程中必须使用专门的冷藏设施和冷藏运输工具,而且还要对产品进行必要的保鲜处理。由于在整个物流过程中对于温度的控制要求极高,运输、仓储、装卸、包装等环节的管理难度和操作难度都比较大。在我国,目前冷链发展尚处于起步阶段和国外发达国家相比差距很大。由于长期以来我国的流通领域发展滞后,冷库资源和冷藏运输资源相对短缺,生鲜产品供应链和物流管理技术落后,国内80%以上的生鲜商品还是采用常温流通手段。常温链容易导致产品质量不稳定、生命周期短,不仅使生产者利益受损对消费者饮食安全构成隐患还造成巨额浪费。以农产品为例,我国是果蔬生产大国,年蔬菜产量超过5.6亿吨,水果产量超过1.6 亿吨,位居世界前列。然而据统计,由于保鲜流通环节的落后,我国每年大约有8000万吨果蔬腐烂,占果蔬总产量的20%-40%,浪费总资金达800亿人民币高居世界榜首。显然,传统的生鲜产品的流通方式已很难满足市场发展的需要,效率低下的生鲜物流系统也已成为我国生鲜产品流通的瓶颈。另外,目前随着人民生活水平的提高,人们对食品安全卫生也提出了更高的要求,这些因素都将刺激着高效率、专业化、一体化的冷链物流迅速发展。

草房子复习资料

名著阅读复习资料《草房子》 【作者简介】 曹文轩,1954年1月生于江苏省盐城市农村。1974年入北京大学中文系读书,现为 北京大学中文系教授和博士生导师。我国当代著名作家,精擅儿童文学。【内容简介】 这本书的第一章是讲秃鹤,这位小朋友应叫陆鹤,但因秃顶而叫秃鹤。他被小伙伴们戏弄,但我们不应该戏弄同学。 第二章讲学习优秀,家住很远的纸月。老师有一次误解了纸月,因为纸月平时从不迟到,今天迟到了,几个大男孩欺负她。我们也不应该欺负弱小。 第三章和第七章都讲的是蒋一轮老师和白雀美好的爱情。但蒋一轮因为白雀不爱,所以对学生大怒。但因一些纠结原因,还使蒋一轮与白雀相亲相爱。我们不应该对别人发脾气 第四章讲的是秦大奶奶为命而拼搏出来的艾地,秦大奶奶舍不得离开这片艾地,所以与油麻地小学展开了“斗争”。 第五章和第八章讲的是住在大红门里的杜小康。他家富裕,最后因父亲杜雍和的种种原因,到外地去放鸭,回来时消瘦许多,风尘仆仆。让我们感受到了现在生活的美好。应该珍惜现在的美好生活。 第六章讲的是江南小伙细马。讲了桑桑与细马的珍贵友谊。我们也应该体会到友谊的珍贵。 第九章将了桑桑患病,父亲桑乔带他到处求医,让我们感受到父爱之伟大。 【人物简析】 主人公桑桑(爸爸桑乔,油麻地小学校长) 特点:(内心善良,愿意帮助人,喜欢养鸽子) A、奇思妙想异想天开(夏天穿棉裤、棉袄,戴大棉帽子) B、制造风景好出风头(大热天穿一身厚棉衣,行走在校园,得到了满足) C、两小无猜浓浓亲情(哄骗妹妹柳柳的玉米,带妹妹远游县城) 杜小康(爸爸杜雍和,杂货铺老板,油麻地第一富庶) 特点: A、优越感强(有一条皮带,有一年四季的衣服,冬天有白口罩,有唯一的自行车) B、傲慢无礼(欢迎桑桑,无动于衷) C、人生洗礼(暴风雨中,追赶失散的鸭群,心由脆弱变得坚强) 桑乔(桑桑的爸爸)油麻地小学校长 秃鹤(陆鹤)桑桑从一年级到六年级的同班同学,瘦而高,是秃头。 特点: 自尊、倔强。为了演出成功,在练习中战胜了严寒。 蒋一轮(桑桑的班主任) 细马(语言不通不爱念书,决定放羊):抑郁、孤独。语言不一样,和老师、同学之间不能正常交流。 白雀(和蒋一轮有一段动人心玄的故事) 纸月(有着身世之谜,水灵优秀,聪慧,有书卷气的小姑娘。)特点: A、文弱忧伤沉静坚韧。离开板仓,离开油麻地小学。 B、恬静清纯受人关怀。上学情景,桑桑母亲最喜欢她。 桑桑的妈妈 柳柳(桑桑的妹妹)温幼菊(桑桑的老师):文质彬彬弱不禁风【阅读训练】 一、“秃鹤用嘴咬住指头,想不让自己哭出来,但哭声还是抑制不住地从喉咙里奔流而出,几乎成了号啕大哭。纸月哭了,许多孩子也哭了。纯净的月光照着大河,照着油麻地小学的师生们,也照着世界上最英俊的少年……” 这里最英俊的少年指谁?为什么称他为世界上最英俊的少年? 答1.这里最英俊的少年是指陆鹤。 答2.为什么称他为世界上最英俊的少年? 1.陆鹤自信,他却凭着自信让所有人刮目相看。谁说没头发就丑,他的自信是建立在对尊严的执著坚守上的。不然任何人侵犯它。 2.陆鹤有尊严,他并没有因为别人的嘲讽而消极,而有着自己的人生观,有尊严地活下去。 3.陆鹤的心灵很美,和许多人比起来都要美好的多,种精神可以然我们人人学习。 陆鹤其实长的不好看,但他却以心灵感动大家,成为了世界上最英俊的少年。 二、油麻地小学的师生们为什么要拆秦大奶奶的草房子,让她搬走,后来又要把她接回来,重新在校园内给她盖上房子?你认为秦大奶奶是位怎样的老人? 答1.让秦大奶奶搬走是因为很多人看中这块地,于是决定在这里盖学校。经过几次谈论不成功。油麻地人无奈之下,强迫性地将秦奶奶移搬到

相关文档
最新文档