CPLD实验报告

CPLD实验报告
CPLD实验报告

CPLD实验课程报告

专业

学号:

姓名:

指导老师:

实验一

1、实验目的:熟悉利用QuartusII的原理图输入方法设计简单组合电路,通过仿真过程分析电路功能。

2、实验内容:(1)工程项目:运行Quartus Ⅱ软件,执行File=>New Project Wizard 命令,建立工程。在所弹出的New Project Wizard对话框中,填写Directory, Name, Top-Level Entity项目,分别对应工程项目目录、项目名称和项目顶层设计实体的名称。单击Next按钮,出现添加工程文件的对话框,若原来己有文件,可选择相应文件,这单直接单击Next进行下一步,选择FPGA器件的型号,在Family下拉框中,根据需要选择一种型号的FPGA,然后在“Available devices:”中根据需要的FPGA型号选择FPGA 型号,比如“EP1C3T144C8”,注意在Filters一栏中选中“Show Advanced Devices”以显示所有的器件型号。再单击Next按钮,对于弹出的其他EDA工具的对话框,不需要作任何改动。单击Next进入工程的信息总概对话框,单击Finish按钮就建立了一个空的工程项目。

(2)编辑设计图形文件:执行File => New 命令,弹出新建文件对话框,选择“Block Diagram/Schematic File ”,单击OK即建立一个空的原理图文件。执行File=> Save as 命令保存原理图文件,文件后缀为.bdf。将“Add file to current project”选项选中,添加原理图文件到刚建立的工程中去。

原理图

74161是4位2进制加法计数器,异步清零,同步置数。由原理图得知当QA、QB输出状态为低电平时,计数器置数0000。但由于初始状态为0000,所以Q0Q1Q2Q3输出全为低电平、COUNT输出为高电平,不能实现四进制计数器功能。时序仿真图为:

将初始状态修改为ABCD:1100时,计数器有效状态图为:

能够实现四进制计数器功能。时序仿真图为:

(3)分析下图所示电路的逻辑功能。

原理图

时序仿真图为:

电路真值表为:

由真值表得:Y等于A与B同或,与仿真波形一致。

实验二

1、实验目的:设计一个带有异步复位和同步时钟时能的一位十进制加法计数器,用VHDL 语言编写程序实现,并在实验箱上验证设计是否正确。

2、实验内容:1、VHDL程序代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt10 is

port(clk,rst,en:in std_logic;

count:out std_logic;

cq:out std_logic_vector(3 downto 0));

end cnt10;

architecture one of cnt10 is

begin

process(clk,rst,en)

variable x: std_logic_vector(3 downto 0);

begin

if rst='1' then x:=(others=>'0');

elsif clk'event and clk='1' then

if en='1' then

if x<9 then x:=x+1;

else x:=(others=>'0');

end if;

end if;

end if;

if x=9 then count<='1';

else count<='0';

end if;

cq<=x;

end process;

end one;

时序仿真图

实验三

1、实验目的:设计一个带有异步复位和同步时钟时能的一位十进制加法计数器,用VHDL 语言编写程序实现,并在实验箱上通过数码管上静态显示。

2、实验内容:1、数码管:实验箱上的数码管为共阴极7段数码管,引脚定义图如下图所示:

数字0—9的编码如下表所示

VHDL程序代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity display is

port(clk,rst,en:in std_logic;

count:out std_logic;

cq:out std_logic_vector(7 downto 0));

end display;

architecture one of display is

signal cqi: std_logic_vector(3 downto 0); begin

process(clk,rst,en)

variable x: std_logic_vector(3 downto 0); begin

if rst='1' then x:=(others=>'0');

elsif clk'event and clk='1' then

if en='1' then

if x<9 then x:=x+1;

else x:=(others=>'0');

end if;

end if;

end if;

if x=9 then count<='1';

else count<='0';

end if;

cqi<=x;

end process;

process(cqi)

begin

case cqi is

when "0000"=>cq<="00111111"; when "0001"=>cq<="00000110"; when "0010"=>cq<="01011011"; when "0011"=>cq<="01001111"; when "0100"=>cq<="01110110"; when "0101"=>cq<="01101101"; when "0110"=>cq<="01111101"; when "0111"=>cq<="00000111"; when "1000"=>cq<="01111111"; when "1001"=>cq<="01101111";

when others =>cq<="11111111"; end case;

end process;

end one;

时序仿真图:

实物图:

实验四

1、实验目的:设计一个带有异步复位和同步时钟时能的一位八进制加法计数器,用VHDL 语言编写程序实现,并在实验箱上通过数码管动态显示。

2、实验内容:

VHDL程序代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity sample is

port(clk,rst,en:in std_logic;

count:out std_logic;

wela: out std_logic_vector(2 downto 0);

cq:out std_logic_vector(7 downto 0));

end sample;

architecture one of sample is

signal cqi: std_logic_vector(3 downto 0);

begin

process(clk,rst,en)

variable x: std_logic_vector(3 downto 0);

begin

if rst='1' then x:=(others=>'0');

elsif clk'event and clk='1' then

if en='1' then

if x<7 then x:=x+1;

else x:=(others=>'0');

end if;

end if;

end if;

if x=7 then count<='1';

else count<='0';

end if;

cqi<=x;

end process;

process(cqi)

begin

case cqi is

when "0000"=>cq<="00111111";

wela<="000";

when "0001"=>cq<="00000110";

wela<="001";

when "0010"=>cq<="01011011";

wela<="010";

when "0011"=>cq<="01001111";

wela<="011";

when "0100"=>cq<="01100110";

wela<="100";

when "0101"=>cq<="01101101";

wela<="101";

when "0110"=>cq<="01111101"; wela<="110";

when "0111"=>cq<="00000111";

wela<="111";

when others =>cq<="11111111"; end case;

end process;

end one;

时序仿真图:

实物图:

实验五

1、实验目的:设计一个四位十进制数字频率计,用VHDL语言编写程序实现,并在实验箱上验证设计是否正确。

2、实验内容:1、VHDL程序代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity fqy_cnt is

port(clk:in std_logic; --clk为16HZ

xclk:in std_logic; -- 待测信号

wela: out std_logic_vector(2 downto 0); --we2,we1,we0

led: out std_logic_vector(7 downto 0));-- dp,g,f,e,d,c,b,a end fqy_cnt;

architecture one of fqy_cnt is

signal count0: std_logic_vector(3 downto 0):="0000"; --个位signal count1: std_logic_vector(3 downto 0):="0000"; --十位signal count2: std_logic_vector(3 downto 0):="0000"; --百位signal count3: std_logic_vector(3 downto 0):="0000"; --千位signal div: std_logic_vector(3 downto 0):="0000";

signal num: std_logic_vector(3 downto 0):="0000";

signal discnt: std_logic_vector(1 downto 0):="00";

signal lock0: std_logic_vector(3 downto 0):="0000";

signal lock1: std_logic_vector(3 downto 0):="0000";

signal lock2: std_logic_vector(3 downto 0):="0000";

signal lock3: std_logic_vector(3 downto 0):="0000";

signal clr,en,c0,c1,c2 : std_logic;

begin

p1:process(clk)

variable cnt : integer range 0 to 7;

variable x : std_logic;

begin

if clk 'event and clk = '1' then

if cnt<7 then

cnt:=cnt+1;

else

cnt:=0;

x:=not x;

end if;

end if;

en<=x;

end process p1;

p2:process(clk)

begin

if clk 'event and clk = '1' then

div<=div+'1';

if div="1111" then

clr<='1';

else

clr<='0';

end if;

end if;

end process p2;

p3:process(xclk,clr,en) --个位计数器

begin

if clr = '1' then

count0<="0000" ;

elsif (xclk 'event and xclk = '1') and (en='1') then if count0="1001" then

count0<="0000"; c0<='0';

else

count0<=count0+'1'; c0<='1';

end if;

end if;

end process p3;

p4:process(c0,clr,en) --十位计数器

begin

if clr = '1' then

count1<="0000";

elsif (c0 = '0') and (en='1') then

if count1="1001" then

count1<="0000"; c1<='0';

else

count1<=count1+'1'; c1<='1';

end if;

end if;

end process p4;

p5:process(c1,clr,en) --百位计数器

begin

if clr = '1' then

count2<="0000";

elsif (c1 = '0') and (en='1') then if count2="1001" then

count2<="0000"; c2<='0';

else

count2<=count2+'1'; c2<='1';

end if;

end if;

end process p5;

p6:process(c2,clr,en) --千位计数器begin

if clr = '1' then

count3<="0000";

elsif (c2 = '0') and (en='1') then if count3="1001" then

count3<="0000";

else

count3<=count3+'1';

end if;

end if;

end process p6;

p7:process(xclk)

begin

if xclk 'event and xclk = '1' then discnt<=discnt+'1';

end if;

end process p7;

p8:process(discnt)

begin

if discnt="00" then

wela<="000"; num<=count3;

elsif discnt="01" then

wela<="001"; num<=count2;

elsif discnt="10" then

wela<="010"; num<=count1; elsif discnt="11" then

wela<="011"; num<=count0; end if;

end process p8;

p9:process(num)

begin

case num is

when "0000"=>led<="00111111"; when "0001"=>led<="00000110"; when "0010"=>led<="01011011"; when "0011"=>led<="01001111"; when "0100"=>led<="01100110"; when "0101"=>led<="01101101"; when "0110"=>led<="01111101"; when "0111"=>led<="00000111"; when "1000"=>led<="01111111"; when "1001"=>led<="01101111"; when others =>led<="11111111"; end case;

end process p9;

p10:process(en)

begin

if en='0' then

lock0<=count0;

lock1<=count1;

lock2<=count2;

lock3<=count3;

end if;

end process p10;

end one;

时序仿真图

实验心得:

本学期中我们学习了CPLD/FPGA这门课程,在6周的实验学习中对这门以前十分陌生的课程有了一定的了解,虽然在学习的过程中遇到了很多困难,但还是很有收获的。实验一初步掌握了Quartus II 软件的使用方法,了解原理图输入的原理和过程。实验二我掌握了Quartus II软件VHDL语言输入的方法,了结VHDL的基本语法知识,并学会十进制计数器的编程。实验三和实验四在实验二的基础上加入数码管程序,使计数器输出分别静态显示、动态显示。实验五在前四个实验的基础上,设计一个四位十进制数字频率计,是对所有实验的总结。本次的实验课程学习,我受益匪浅,不仅了解了CPLD/FPGA技术,初步掌握了Quartus II软件的使用,加深了自己对数字频率计的基本原理和基本构造的认知,锻炼了自己的动手能力让我能够更好的提高自己。虽然在做的过程中出现了各种各样的问题,在老师的耐心讲解和同学的帮组下一一解决,培养了独立专研,耐心细致的科研精神,为以后学习奠定基础。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

CPLD可编程数字信号发生器实验

课程: 通信原理 CPLD可编程数字信号发生器实验报告 系电子信息与计算机科学系 专业电子信息科学与技术 班级 姓名 学号 指导教师 实验地点 学年学期

一、实验目的 1、熟悉各种时钟信号的特点及波形。 2、熟悉各种数字信号的特点及波形。 二、实验内容 1、熟悉CPLD可编程信号发生器各测量点波形。 2、测量并分析各测量点波形及数据。 三、实验模块 1、通信原理0 号模块一块 2、示波器一台 四、实验原理 CPLD可编程模块用来产生实验系统所需要的各种时钟信号和各种数字信号。它由CPLD可编程器件ALTERA公司的EPM240T100C5、下载接口电路和一块晶振组成。晶振JZ1用来产生系统内的32.768MHz主时钟。本实验要求参加实验者了解这些信号的产生方法、工作原理以及测量方法,才可通过CPLD可编程器件的二次开发生成这些信号,理论联系实验,提高实际操作能力。 1、CPLD数字信号发生器,包括以下五个部分: ①时钟信号产生电路; ②伪随机码产生电路; ③帧同步信号产生电路; ④NRZ码复用电路及码选信号产生电路; ⑤终端接收解复用电路。 2、24位NRZ码产生电路 本单元产生NRZ信号,信号速率可根据输入时钟不同自行选择,帧结构如下图所示。帧长为24位,其中首位无定义,第2位到第8位是帧同步码(7位巴克码1110010),另外16路为2路数据信号,每路8位。此NRZ信号为集中插入帧同步码时分复用信号。LED亮状态表示1码,熄状态表示0码。 五、实验步骤 1、观测时钟信号输出波形。 信号源输出两组时钟信号,对应输出点为“CLK1”和“CLK2”,拨码开关S4的作用是改变第一组时钟“CLK1”的输出频率,拨码开关S5的作用是改变第二组时钟“CLK2”的输出频率。拨码开关拨上为1,拨下为0,拨码开关和时钟的对应关系如下表所示拨码开关时钟拨码开关时钟 000032.768M1000128K 000116.384M100164K 00108.192M101032K 0011 4.096M101116K

11级电路分析基础实验报告

11级电路分析基础实验报告 篇一:电路分析基础实验 实验一:基尔霍夫定理与电阻串并联 一、实验目的 学习使用workbench软件,学习组建简单直流电路并使用仿真测量仪 表测量电压、电流。 二、实验原理 1、基尔霍夫电流、电压定理的验证。 解决方案:自己设计一个电路,要求至少包括两个回路和两个节点, 测量节点的电流代数和与回路电压代数和,验证基尔霍夫电流和电压 定理并与理论计算值相比较。 2、电阻串并联分压和分流关系验证。 解决方案:自己设计一个电路,要求包括三个以上的电阻,有串联电 阻和并联电阻,测量电阻上的电压和电流,验证电阻串并联分压和分 流关系,并与理论计算值相比较。 三、实验数据分析 1、基尔霍夫电流、电压定理的验证。

测量值验证 (1)对于最左边的外围网孔,取逆时针为参考方向得:U1-U2-U3?20V-8.889V-11.111V?0故满足KVL。 (2)对于最大的外围网孔,取逆时针为参考方向得: U1?I5?R3-U2?20V?(-0.111?100)V-8.889V?0 (3)对于节点4,取流进节点的电流方向为正得: -I1?I2?I3?(--0.444)A?(-0.222)A?(-0.222)A?0 (4)对于节点7,取流进节点的电流方向为正得: -I3?I4?I5?(--0.222)A?(-0.111)A?(-0.111)A?0 理论计算值 U1?I1?(R1?R2//R3//R4) IU1204 1?(R?A?A 1?R2//R3//R4)459 I3//R4 2?R RR?I?1?4A?2 1A 2?R3//4299 I(I422 3?1-I2)?(9-9)A?9A IR1 312

实验报告

实验课程: 数学分析 专业: 数学与应用数学 班级: 09级数本一班 学号: 2009403078 姓名: 王h 实验一 函数极限(黑体三号) 【实验目的】1.掌握使用Matlab 求极限的方法2.通过Matlab 实验理解掌握极限的定义。 【实验内容】1.求函数极限 2. 求数列极限 3. 了解函数在某点连续 【实验所使用的仪器设备与软件平台】实验使用MATLAB 软件 【实验方法与步骤】(阐述实验的原理、方案、方法及完成实验的具体步骤等,对于必须编写计算机程序的实验,要附上编写的程序) 一、 实验原理:1.运用数列极限的定义。2.函数极限的定义。3.函数) (x f 在0x 点连续的定义。4.运用极限)(lim x f 与)(lim x f 存在的充要条件。 二、 实验方案与方法:首先了解极限的定义,然后运用Matlab 软件编写 程序求极限。在使用Matlab 时要会运用声明符号变量syms,并且针对函数求极限的情况(左极限或右极限以及趋近于某点的左右极限等不同情况)编写相应的程序。 三、 实验步骤: 1. 先确定函数极限求∞ →n lim n n ,) 1ln(cos 1lim +-→x e x x x ,2 2 ) 2(sin ln lim x x x -→ ππ ,x x arctan lim ∞ →, x x x x 2) 1( lim +∞ → 2. 以第一个为例编写程序如下:

3.再求数列极限618 .02 15lim 1 ≈-= +∞ →n n n F F (其中已知数列 ] )2 5 1( )2 5 1[(5 1F 1 1 ++--+= n n n 【实验结果】 【结果分析与讨论】

数字钟课程设计实验报告

《电子技术课程设计报告》 教学院:电气与电子信息工程学院 专业班级:xx级电子信息工程(x)班 学号:xxxxxxxxxxxx 学生:坏水 指导教师:xxxxxxxxxxxx 时间:2011.10.10~10.23 地点:电子技术实验室

课程设计成绩评定表

电子技术课程设计任务书 2011~2012学年第一学期 学生:坏水专业班级:xx电信本x班 指导教师:xxxxxxxxx 工作部门:电气与电子信息工程学院 一、课程设计题目:多功能数字钟电路的设计/直流稳压电源的设计 二、课程设计容(含技术指标): ①拟定多功能数字钟和直流稳压电源的组成框图,要现电路的基本功能,使用 的器件少,成本低; ②画出数字钟和直流稳压电源的主体电路逻辑图; ③测试多功能数字钟的逻辑功能,同时满足基本功能与扩展功能的要求; ④设计并安装各单元电路,要求布线整齐、美观,便于级联与调试;

四、基本要求 1.基本功能:要求设计出+5V的直流稳压电源。数字钟要求以数字形式显示时、分、秒的时间。小时计数器的计时要求为“12翻1”,要求具有手动校时功能。 2.扩展功能:定时控制,其时间自定;仿广播电台正点报时,自动报整点时数或触摸报整点时数(主要体现在理论知识上进行电路设计)。 (一)实训题目:直流稳压电源和多功能数字钟。 (二)实训目的: 1、巩固和加深学生对模拟电子技术,数字逻辑电路等课程基本知识的理解,综 合运用课程中所学到的理论知识去独立完成一个实际课题。 2、根据课程需要,通过查阅手册和文献资料,培养学生独立分析和解决实际问 题的能力。 3、通过电路方案的分析、论证和比较,设计计算和选用元气件,通过电路组装, 调试和检测环节,掌握电路的分析方法和设计方法。 4、熟用常用电子元气件的类型和特性,并掌握合理选用原则。 5、掌握电路图、PCB图的设计方法,学会电路的安装与调试。 6、掌握常用仪器、仪表的正确使用方法,学会电路整机指标的测试方法。(三)实训要求

计算机组成原理实验报告

实验报告书 实验名称:计算机组成原理实验 专业班级:113030701 学号:113030701 姓名: 联系电话: 指导老师:张光建 实验时间:2015.4.30-2015.6.25

实验二基本运算器实验 一、实验内容 1、根据原理图连接实验电路

3、比较实验结果与手工运算结果,如有错误,分析原因。 二、实验原理 运算器可以完成算术,逻辑,移位运算,数据来自暂存器A和B,运算方式由S3-S0以及CN来控制。运算器由一片CPLD来实现。ALU的输入和输出通过三态门74LS245连接到CPU内总线上。另外还有指示灯进位标志位FC和零标志位FZ。 运算器原理图: 运算器原理图 暂存器A和暂存器B的数据能在LED灯上实时显示。进位进位标志FC、零标志FZ 和数据总线D7…D0 的显示原理也是如此。 ALU和外围电路连接原理图:

ALU和外围电路连接原理图运算器逻辑功能表:

三、实验步骤 1、按照下图的接线图,连接电路。 2、将时序与操作台单元的开关KK2 置为‘单拍’档,开关KK1、KK3 置为‘运行’档。 3、打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动CON 单元的CLR 按钮,将运算器的A、B 和FC、FZ 清零。 4、用输入开关向暂存器A 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘1’,灭为‘0’。 ②置LDA=1,LDB=0,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数01100101 置入暂存器A 中,暂存器A 的值通过ALU 单元的 A7…A0 八位LED 灯显示。 5、用输入开关向暂存器B 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数10100111 (或其它数值)。 ②置LDA=0,LDB=1,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数10100111 置入暂存器B 中,暂存器B 的值通过ALU 单元的 B7…B0 八位LED 灯显示。 6、改变运算器的功能设置,观察运算器的输出。置ALU_B=0 、LDA=0、LDB=0,然后按表2-2-1 置S3、S2、S1、S0 和Cn的数值,并观察数据总线LED 显示灯显示的结果。如置S3、S2、S1、S0 为0010 ,运算器作逻辑与运算,置S3、S2、

课 程 实 验 报 告

课程实验报告 专业年 2012年 课程名称应用多元统计分析 指导教师 *** 学生姓名 ** 学号 *************** 实验日期 ********** 实验地点实验室 实验成绩 教务处制 2013 年1月 12 日

实验项 目名称系统聚类分析与主成分分析的上机实验 实验目的SPSS软件中factor analysis的计算机操作及结果分析,使学生能熟练应用计算机及要求软件进行聚类分析与主成分分析与结果分析,培养实际应用能力。 题目: 实某地区35个城市2004年的7项经济统计指标数据(见附表)(1)试用最短距离聚类法对35个城市综合实力进行系统聚类分析,验并画出聚类谱系图。 (2)试用主成分分析法对35个城市7项经济指标进行主成分分析,内并分析其综合实力。 注:对输出结果进行分析! 容要求: 将SPSS软件的分析过程的关键步骤截图说明,需要计算 的地方要写出详细计算步骤。 实聚类分析:1.选择菜单项:分析→分类→系统聚类分析,在系统聚类分析对话 验框中将“城市编号”变量选入“标注个案(C)”中,将其他变量选入“变量框”中,如图一所步在“分群”单选框中选中“个案”,表示进行的是Q型聚类。在“输出”复选框中选中“统计量” 骤和“图”,表示要输出的结果包含以上两项。

图一: 2. 单击“统计量(S)”按钮,在“系统聚类分析:统计量”对话框中选择“合并进程表”、“相似性矩阵”,表示输出结果将包括这两项内容。 3.单击“绘制(T)”按钮,在“系统聚类分析:图”对话框中选择“树状图”、“冰柱”,表示输出的结果将包括谱系聚类图(树状)以及冰柱图(垂直)。 4.单击“方法(M)”按钮,弹出“系统聚类分析:方法”对话框。“聚类方法(M)”选项条中可选项包括的几种方法,本实验中选择“组间联接”:“度量标准-区间(N)”选项条中可选项包括的几种度量方法,本实验中选择“平方Euclidean距离”:“转换值-标准化(S)”选项条中可选项包括几种将原始数据标准化的方法,本实验中选择“全局从0到1”。

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

11级建筑《建筑工程测量》实验报告(学生) 2

建筑工程测量 实验报告 专业 年级 班级 学号 姓名 2013年月日 实验报告一

日期 20131013 班组学号姓名 ㈠完成下列填空 1.安置仪器后,转动脚螺旋使圆水准器气泡居中,转动 目镜看清十字丝,通过缺口和准星瞄准水准尺,转动微动螺旋精确照准水准尺,转动调焦镜消除视差,转动微倾螺旋使符合水准器气泡居中,最后读数。 2.消除视差的步骤是转动目镜使十字丝清晰,再转动 调焦镜使目标清晰。 ㈡实验记录和计算 1.记录水准尺上读数填入表1-1中。 表1-1 2.计算(注:依据[黑+(红-K)]/2的结果数据) ⑴ A点比B点(高、低)高 m。 ⑵ A点比C点(高、低)低 m。 ⑶ B点比C点(高、低)低 m。 ⑷假设C点的高程H C= 136.785 m,求A点和B点的高程,即:

A A= -265.715 m, H B= -471.715 m,水准仪的视线高程H I= 1019.785 m。 ㈢写出图1-1中水准仪各部件的名称 图1-1 1)目镜;2)望远镜物镜; 3)水准管;4)水平微动螺旋; 5)圆水准器;6)校正螺丝; 7)水平制动螺旋;8)准心; 9)脚螺旋;10)微倾螺旋; 11)水平微动螺旋;12)物镜对光螺旋; 13)缺口;14)三角架。 实验报告二水准测量 日期班组学号姓名 ㈠水准测量的外业记录及其高程计算 实验数据记入表2-1,进行高程的计算,并进行验算,以确保各项计算准确无误。 表2-1 水准测量的外业记录及其高程计算

续表

㈡水准测量的内业整理及待测点的高程计算将表2-1的计算结果填入表2-2,求各个待定点的高程 表2-2 各待定点高程的计算

实验报告总结(15篇汇总)

实验报告总结第1篇 课程学习和实验的操作诚然是一门专业课必须要去做的,能够使很多专业知识以及专业技能上桌面GIS的功能与菜单操作以及对地形分析等等的实验操作的提升,同时又是一门辩思课,给我很多思,给我莫大的空间。同时,设计专题地图和数据处理让我感触很深。使我对抽象的.理论有具体的认识。经过这次课程实验学习,我掌握专业软件件的简单运用;掌握地图专题制作的不一样方法,地图匹配,属性修改,数据处理,地形分析,缓冲区分析和网络分析以及如何提高地图质量,地图美观,也掌握制图方法和技术,也懂得很多的专业术语和知识。 地理信息系统分析与应用的实验资料主要包括专题地图的制作,GIS的矢量化分析,数据误差校正,GIS数据格式转换,空间内插等等。每一步都需要大家仔细的揣摩研究,并且需要有清晰的思路,思路确定,也就在整体上把握住方向,接下来,就是把它细化,一步一步完成每一个实验模块。可是这个过程曲折可谓一言难尽。整个半天都是对着电脑,不然就是翻阅书本。再此期间我失落过,因为自我不懂的地方还很多。在做GIS实验的点点滴滴让我回味无穷,好多数据都是一边做一边为后面的操作打基础的,如果出现误差或者错误,就会导致后面的一些实验操作无法正常的开展和完成,这更是使我体会到仅有耐心细心和恒心,才能做好事情。本次的这些实验加强我们动手、思考和解决问题的本事,也进一步巩固和加深我对地理信息系统原理和方法的理解,提高 综合运用本课程所学的知识和对知识的加强理解。培养我查阅资料的本事和

独立思考,解决问题的本事。经过实际操作,应用软件的分析方法,并培养严认真的工作作风,在制作实验操作的过程中有些问题不是很理解,但当我做完这些实验后,有些问题就迎刃而解。操作时经常会遇到这样那样的错误,有的是因为粗心造成的,也有的是用错方法,总之就是实现不。同时在实验的过程中发现自我的不足之处,对以前所学的知识点理解得不够透彻,掌握得不牢固。 我认为,在这学期的GIS实验中,不仅仅培养独立思考、动手操作的本事,在各种其它本事上也都有提高。更重要的是,在实验课上,我们学会很多学习的方法。而这也是日后最实用的,真的是受益匪浅。要应对社会的挑战,仅有不断的学习、实践,再学习、再实践。这对于我们的将来也有很大的帮忙。以后,不管有多苦,我想我们都能变苦为乐,找寻趣味的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都能够在实验结束之后变的更加成熟,会应对需要应对的事情。 实验报告总结第2篇 回顾起此课程设计,感慨颇多,从理论到实践,在这学期的学习中,能够说得是苦多于甜,累,可是能够学到很多很多的东西,不仅仅巩固以前所学过的知识,也学到很多在书本上所没有学到过的知识。在实验操作与设计的过程中遇到问题也颇多,但可喜的是最终都得到解决。 此次课程实验学习给自我最大的感触是,不管什么样的软件,懂的也好不懂

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

CPLD课程实验报告

CPLD课程学习报告 八周的CPLD及电子CAD实验结束了,回忆着过去八周的学习,收获很多。这八周的学习,是我从一个对CPLD完全没有概念的人变得能完成数字时钟及其扩展,其中虽然遇到了很多困难,但当困难被克服,实验结果出来是的喜悦是难以言状的。下面,分别讲述这八次课的收获和心得。 学习上,学到了知识,肯定是不小的收获,但在学习之外,我也收获了很多,首先,做实验的时候是既有分组又有合作的的,说道合作,我们了解了一个团队协作的重要性,开始的时候,由于和搭档不认识,出现过一些矛盾,但后来,我们明白了,只有协作,才能更好的完成一项工作。所以,这是我的一个很重要的收获。 回归正题,讲讲学习的收获。 首先,实验所使用的软件是:MAX+PLUSII,共完成了数字时钟及其调时,整点报时,秒表和闹钟的应用等,分别应用了文字及图形的编程方法,将所设计的电路功能下载到EPIK30TC144-1器件,以实现我们所需要的功能。 数字时钟可实现的功能 1、时、分、秒六位数码管显示(标准时间23点59分59秒); 2、具有小时、分钟校准功能; 整点报时:55,56,57,58,59低音响,整点高音,间断振铃(嘟--嘟--嘟--嘟--嘟--嘀)。

3、跑表:最大计时99秒99毫秒。独立的跑表功能,不影响数字钟正常工作。 4、定时闹钟:可在00:01到23:59之间由用户设定任一时间,到时报警。 第一课:10进制、6进制计数器 对于以上计数器,采用VHDL代码书写,(截屏如下) 图一:10进制VHDL文本 在书写VHDL文本时,应当注意文字的准确性和无错误,最值得注意的是:保存文本时应注意其文件扩展名一定要为VHD,且要注意前后文件明要保持一致。文本通过调试无错误后,接着要分配管脚, 启动MAX+PLUS~Floorplan Editor菜单命令,分别通过老师所给的管

变压器实验报告汇总

四川大学电气信息学院 实验报告书 课程名称:电机学 实验项目:三相变压器的空载及短路实验专业班组:电气工程及其自动化105,109班实验时间:2014年11月21日 成绩评定: 评阅教师: 电机学老师:曾成碧 报告撰写:

一、实验目的: 1 用实验方法求取变压器的空载特性和短路特性。 2 通过空载及短路实验求取变压器的参数和损耗。 3 计算变压器的电压变化百分率和效率。 4掌握三相调压器的正确联接和操作。 5 复习用两瓦特法测三相功率的方法。 二.思考题的回答 1.求取变压器空载特性外施电压为何只能单方向调节?不单方向调节会出现什么问题? 答:因为当铁磁材料处于交变的磁场中时进行周期性磁化时存在磁滞现象。如果不单方向调节变压器外施电压,磁通密度并不会沿原来的磁化曲线下降,所以会影响实验结果的准确性。 2.如何用实验方法测定三相变压器的铜、铁损耗和参数?实验过程中作了哪些假定? 答:变压器的空载实验中认为空载电流很小,故忽略了铜耗,空载损耗近似等于变压器铁耗Fe P P ≈0,同时忽略了绕组的电阻和漏抗。空载时的铁耗可以直接用两瓦特法测得,根据公式2 003/I P r m ≈可以求得励磁电阻,由003/I U Z m ≈可以求得励磁阻抗,由2 2 k m m r Z X -=可以求得励磁电抗值。 在变压器的短路实验中,由于漏磁场分布十分复杂,故在T 形等效电路计算时,可取k x x x 5.0'21==σσ,且k r r r 5.0'21==。同时由于外加电压低,忽略了铁耗,故假设短路损耗等于变压器铜耗。短路损耗k P 可直接由两瓦特法测得,有公式k k k I P r 2/=可得k r ,k k k I U Z 3/=,故k k k r Z x 22-=。 3.空载和短路实验中,为减小测量误差,应该怎样联接电压接线?用两瓦特表法测量三相功率的原理。 答:变压器空载实验中应当采用电流表内接法。因为空载实验测量的是励磁阻抗,阻抗值较大,若采用电流表外接法,电压表会有明显的分流作用,从而产生较大的误差。 变压器短路实验应当采用电流表外接法。因为短路实验中测量的是漏阻抗,

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

CPLD实验报告计数器及时序电路

内蒙古工业大学信息工程学院实验报告 课程名称: CPLD/FPGA 应用开发技术 实验名称:组合逻辑电路的设计 实验类型:验证性□ 综合性□ 设计性■ 实验室名称:信息学院机房 班级:电子09-1班学号:200920203061 姓名:张佳兴组别: 同组人:成绩: 实验日期: 2012年5月2日

预习报告成绩:指导教师审核(签名):年月日 预习报告 实验二计数器及时序电路 一、实验目的: 1、了解时序电路的VHDL语言设计方法。 2、了解同步计数器的使用方法。 3、理解时序电路和同步计数器加译码电路的联系,设计任意编码计数器。 二、实验设备: 1、PC机 2、EDA实验箱(主芯片是ALTERA EPM7128SLC84-15)。 三、实验内容: 1、用VHDL语言输入法设计一个同步四位二进制加法计数器和六进制同步计 数器。 2、用74LS161两个宏连接成八位二进制同步计数器。 3、用74LS161宏,同时采用清零和置数法组成六进制和十二进制计数器。 四、实验步骤: 1、采用文本编辑器输入VHDL语言源程序,或采用原理图输入法从MF库中 调用器件74161,生成原理图,之后建立工程。 2、编译。 3、仿真。 4、对芯片进行编程。 5、根据管脚分配情况连线。 (1)根据芯片特点,管脚分配时一般将时钟信号分配给83脚,复位信号分配给1脚。若有使能信号,使能信号分配给84脚。 (2)时钟信号的连接:将实验板上提供的时钟与芯片的83脚相连。 (3)复位信号的连接:将实验板上的某按键开关输出与芯片的1脚相连。 (4)将计数器的输出端分别与LED灯相连。 6、按动复位键,观察实验结果。 7、改变输入时钟信号的频率,观察实验结果。

10-11-2实验报告(答案)

《C程序设计》实验报告 学期:2010--2011学年第二学期 教师姓名: 教研室:

实验1 熟悉C语言程序的运行环境,掌握数据描述 实验目的 1.了解在开发环境中如何编辑、编译、连接和运行一个C语言程序。 2.通过运行简单的C语言程序,初步了解C语言程序的结构特点。 3.掌握C语言数据类型的概念,学会使用C语言的相关运算符构成表达式。 实验预习 1.熟悉Visual C++的启动和退出及Visual C++中的编辑、编译、连接和运行命令。 2.了解下列命令及函数:include<>、main、printf、scanf。 3.熟悉Visual C++环境下每个菜单项的功能及相关命令对应的操作。 4.各种数据类型变量的定义形式及取值范围;熟悉下列标识符的含义。 int、short (int)、long (int)、unsigned (int)、float、double、char、void 5.各类运算符的优先级和结合规则。 6.常量与变量的区别和联系。 运行环境: 1.双击桌面Visual C++快捷方式进入Visual C++,或通过执行“开始——>程序——> Microsoft Visual Studio ——> Microsoft Visual C++6.0”或执行文件"C:\Program Files\Microsoft Visual Studio\COMMON\MSDev98\Bin\"。 2.单击“文件”菜单的“新建”命令。 3.在打开的“新建”对话框中选择“文件”标签。 4.选择C++ Source File,在目录输入栏选择文件保存位置,然后在文件输入栏中输入文件名,扩展名为.c (例如,单击确定按钮。如图所示:

会计课程实验报告

会计课程实验报告 篇一:会计综合实验课实验报告 实验报告 课程名称会计学专业综合实验 实验项目名称模拟企业会计业务处理 班级与班级代码 实验室名称(或课室) 专业会计学 任课教师 学号: 姓名: 实验日期:20 年月 广东商学院教务处制 姓名实验报告成绩 评语: 指导教师(签名)年月日 说明:指导教师评分后,实验报告交院(系)办公室保存。 会计综合实验课实验报告 一、实验目的 该课程是实践课程,是会计学专业学生理论联系实践,培养其实际操作能力的重要教学环节,是会计理论学习的继

续。 课程要求学生在理解会计基本理论、基本方法的基础上,结合使用计算机,通过系统完整的练习,模拟会计主体处理会计业务的全过程,从而使学生体会真实的会计工作环境,系统地掌握会计核算的基本程序和基本方法,训练基本技能,为进一步从事财务分析等会计管理工作做准备。 为适应信息社会对会计人员、管理人员的更高要求,提高在校学生实操能力,激发学生积极性和求知欲,针对会计学专业本科学生的特点,开设本课程。 二、实验原理 严格遵照会计准则,并根据系统所提供的相关会计核算资料,按照会计学原理中会计核算的步骤进行账务处理,按照会计监督的要求进行账务核对和监督;按照复式记账法,根据记账凭证登记账簿;按照资产=负债+所有者权益的会计恒等式进行凭证登记,账簿登记和对账工作。 三、实验设备 计算机系统 四、结果预测 会计凭证、会计账簿、会计报表 五、实验步骤 (一)设置账户 (二)根据会计核算资料,填制各类原始凭证

(三)根据所填制原始凭证,填制记账凭证 (四)根据每张记账凭证,详细登记日记账、明细账以及科目汇总表 (五)根据所填制账簿,登记总账 (六)查账对账 (七)根据所填制总账,填制资产负债表、利润表等报表 (八)会计档案保存 六、实验结果 1、资产负债表 2、利润表 篇二:会计实验报告 《会计学》实验报告 实验室会计与财务实验室 所属课程名称实验类型实验日期 指导教师 班级学号 姓名成绩 【实验目的及要求】 《会计学》是财经类专业的必修课。课程主要阐明的是会计学的基本理论、基本方法。通过本课程的学习,使学生掌握会计学的基本理论、基本方法和基本技能,熟练掌握和

数字时钟设计实验报告

电子课程设计 题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路与校时电路构成电路。 秒时钟信号发生器可由振荡器与分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时与分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器就是数字电子钟的核心部分,它的精度与稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ? 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ? 分频器: 分频器功能主要有两个,一就是产生标准秒脉冲信号,一就是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数与进位功能。利用74LS161与74LS11设计6进制计数器显示秒的十位 ,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 ?60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0

实验九 QPSK调制与解调实验报告

实验九QPSK/OQPSK 调制与解调实验 一、实验目的 1、了解用CPLD 进行电路设计的基本方法。 2、掌握QPSK 调制与解调的原理。 3、通过本实验掌握星座图的概念、星座图的产生原理及方法,了解星座图的作用及工程上的作用。 二、实验内容 1、观察QPSK 调制的各种波形。 2、观察QPSK 解调的各种波形。 三、实验器材 1、信号源模块 一块 2、⑤号模块 一块 3、20M 双踪示波器 一台 4、 连接线 若干 四、实验原理 (一)QPSK 调制解调原理 1、QPSK 调制 QPSK 信号的产生方法可分为调相法和相位选择法。 用调相法产生QPSK 信号的组成方框图如图12-1(a )所示。图中,串/并变换器将输入的二进制序列依次分为两个并行的双极性序列。设两个序列中的二进制数字分别为a 和b ,每一对ab 称为一个双比特码元。双极性的a 和b 脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,得到图12-1(b )中虚线矢量。将两路输出叠加,即得如图12-1(b )中实线所示的四相移相信号,其相位编码逻辑关系如表12-1所示。 (a ) a(0)b(0) b(1) a(1) (b ) 图12-1 QPSK 调制 /并变换。串/并变换器将输入的二进制序列分为两个并行的双极性序列110010*********和

111101*********。双极性的a 和b 脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,然后将两路输出叠加,即得到QPSK 调制信号。 2、QPSK 解调 图12-2 QPSK 相干解调器 由于四相绝对移相信号可以看作是两个正交2PSK 信号的合成,故它可以采用与2PSK 信号类似的解调方法进行解调,即由两个2PSK 信号相干解调器构成,其组成方框图如图12-2所示。图中的并/串变换器的作用与调制器中的串/并变换器相反,它是用来将上、下支路所得到的并行数据恢复成串行数据的。 (二)OQPSK 调制解调原理 OQPSK 又叫偏移四相相移键控,它是基于QPSK 的改进型,为了克服QPSK 中过零点的相位跃变特性,以及由此带来的幅度起伏不恒定和频带的展宽(通过带限系统后)等一系列问题。若将QPSK 中并行的I ,Q 两路码元错开时间(如半个码元),称这类QPSK 为偏移QPSK 或OQPSK 。通过I ,Q 路码元错开半个码元调制之后的波形,其载波相位跃变由180°降至90°,避免了过零点,从而大大降低了峰平比和频带的展宽。 下面通过一个具体的例子说明某个带宽波形序列的I 路,Q 路波形,以及经载波调制以后相位变化情况。 若给定基带信号序列为1 -1 -1 1 1 1 1 -1 -1 1 1 -1 对应的QPSK 与OQPSK 发送波形如图12-3所示。 1-1-11111-1-111-1111-11-111-11-1-111-11-1 基基基基I 基基Q P S K ,O Q P S K Q 基基 Q P S K Q 基基O Q P S K -1 图12-3 QPSK,OQPSK 发送信号波形 图12-3中,I 信道为U (t )的奇数数据单元,Q 信道为U (t )的偶数数据单元,而OQPSK 的Q 信道与其I 信道错开(延时)半个码元。 QPSK ,OQPSK 载波相位变化公式为 {}()33arctan ,,,()44 44j i j i Q t I t ππ?ππ? ????? =--???? ?????? ?@ QPSK 数据码元对应的相位变化如图12-4所示,OQPSK 数据码元对应相位变化如图 12-5所示

相关文档
最新文档