哈工大数电自主设计实验

哈工大数电自主设计实验
哈工大数电自主设计实验

姓名班级学号

实验日期节次教师签字成绩

实验名称:六十进制计数器的设计

1.实验目的

1.掌握74LS00的逻辑功能和使用方法

2.掌握74LS161的逻辑功能和使用方法

3.掌握利用给定计数器实现任意进制的计数器方法

2.总体设计方案或技术路线

(1)异步进位级联六十进制计数器

图2.1为利用74LS161实现的异步进位级联六十进制计数器,高位芯片的时钟端来自低位芯片的输出端Q3。低位芯片采用异步清零法实现十进制计数器,高位芯片也采用异步清零法实现六进制计数。

图2.1

(2)同步进位级联六十进制计数器

图2.2为利用74LS161实现的同步进位级联六十进制计数器,高位芯片和低位芯片的时钟级联在一起。低位芯片利用数据预置功能实现十进制计数器,高位芯片也利用数据预置功

能实现六进制计数器。只有低位芯片的预置数据端LD产生低电平后,高位芯片的EP、ET 才为1,高位芯片开始计数,平时高位芯片处于数据保持状态。

图2.2

3.实验电路图

图3.1 异步进位级联六十进制计数器

图3.2 同步进位级联六十进制计数器4. 仪器设备名称、型号

1.示波器

2.74LS00

3.74LS161

4.数字电子技术实验箱

5.直流稳压电源

6.74LS20

5.理论分析或仿真分析结果

(1)异步进位级联六十进制计数器

如图3.1所示连接电路,仿真结果如下图所示。如图5.1所示,计数器能从00计数到59,图5.2和图5.3分别为低位和高位Q A到Q D的波形。

图5.1

图5.2 低位输出波形

图5.3 高位输出波形

由仿真结果可知,电路设计正确,能实现六十进制计数。(2)同步进位级联六十进制计数器

如图3.2所示连接电路,仿真结果如下图所示。如图5.4所示,计数器能从00计数到59,图5.5和图5.6分别为低位和高位Q A到Q D的波形。

图5.4

图5.5低位输出波形

图5.6高位输出波形

由仿真结果可知,电路设计正确,能实现六十进制计数。

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)

1.按图3.1、3.2连接电路

2.观察LED数码显示是否正确

两种电路均能从00计数到59,LED数码显示正确

7.实验结论

两种电路均能实现60进制计数

8.实验中出现的问题及解决对策

问题:一开始未设计置零,导致计数不是从0开始

对策:先置零后计数

9.本次实验的收获和体会、对电路实验室的意见或建议巩固了所学知识,提高了自主设计能力

10.参考文献

[1] 杨春玲. 数字电子技术基础. 北京:高等教育出版社.

[2] 廉玉欣. 电子技术基础实验教程. 北京:机械工业出版社.

[3] 孟涛. 电工电子EDA实践教程. 北京:机械工业出版社.

微机原理课程设计电压报警器实验报告

南通大学电子信息学院 微机原理课程设计 报告书 课题名: 班级: 姓名: 学号: 指导老师: 日期: xxx

目录 1.设计目的 (2) 2.设计内容 (2) 3.设计要求 (2) 4.设计原理 (3) 5.硬件电路图 (3) 6.程序代码 (5) 7.程序及硬件系统调试情况 (19) 8.设计总结与体会 (19)

一、设计目的 课程设计是培养和锻炼学生在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力的重要教学环节。它具有动手、动脑和理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一个重要教学环节。 通过课程设计,要求学生熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,使学生得到微机开发应用方面的初步训练。让学生独立或集体讨论设计题目的总体设计方案、编程、软件硬件调试、编写设计报告等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。通过本次课程设计使学生熟练掌握微机系统与接口扩展电路的设计方法,熟练应用8086汇编语言编写应用程序和实际设计中的硬软件调试方法和步骤,熟悉微机系统的硬软件开发工具的使用方法。 通过课程设计实践,不仅要培养学生事实求是和严肃认真的工作态度,培养学生的实际动手能力,检验学生对本门课学习的情况,更要培养学生在实际的工程设计中查阅资料,撰写设计报告表达设计思想和结果的能力。 二、设计内容 设计一个电压报警器,要求采集实验箱提供的0~5V的电压,当输入电压在3V以内,显示电压值,如2.42。当输入电压超过3V,显示ERR,并报警。电压值可在七段数码管显示,点阵广告屏显示或液晶屏显示。报警形式自行设计,

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

哈工大机械原理课程设计

Harbin Institute of Technology 机械原理课程设计说明书 课程名称:机械原理 设计题目:产品包装生产线(方案1) 院系:机电学院 班级: 设计者: 学号: 指导教师: 设计时间:

一、绪论 机械原理课程设计是在我们学习了机械原理之后的实践项目,通过老师和书本的传授,我们了解了机构的结构,掌握了机构的简化方式与运动规律,理论知识需要与实践相结合,这便是课程设计的重要性。我们每个人都需要独立完成一个简单机构的设计,计算各机构的尺寸,同时还需要编写符合规范的设计说明书,正确绘制相关图纸。 通过这个项目,我们应学会如何收集与分析资料,如何正确阅读与书写说明书,如何利用现代化的设备辅助工作。这种真正动手动脑的设计有效的增强我们对该课程的理解与领会,同时培养了我们的创新能力,为以后机械设计课程打下了坚实的基础。 二、设计题目 产品包装生产线使用功能描述 图中所示,输送线1上为小包装产品,其尺寸为长?宽?高=600?200?200,小包装产品送至A处达到2包时,被送到下一个工位进行包装。原动机转速为1430rpm,每分钟向下一工位可以分别输送14,22,30件小包装产品。 产品包装生产线(方案一)功能简图 三、设计机械系统运动循环图 由设计题目可以看出,推动产品在输送线1上运动的是执行构件1,在A处把产品推到下一工位的是执行构件2,这两个执行构件的运动协调关系如图所示。 ?1?1 执行构件一 执行构件二 ?01?02 运动循环图

图中?1 是执行构件1的工作周期,?01 是执行构件2的工作周期,?02是执行构件2的动作周期。因此,执行构件1是做连续往复运动,执行构件2是间歇运动,执行构件2的工作周期?01 是执行构件1的工作周期T1的2倍。执行构件2的动作周期?02则只有执行构件1的工作周期T1的二分之一左右。 四、 设计机械系统运动功能系统图 根据分析,驱动执行构件1工作的执行机构应该具有的运动功能如图所示。运动功能单元把一个连续的单向传动转换为连续的往复运动,主动件每转动一周,从动件(执行构件1)往复运动一次,主动件转速分别为14,22,30rpm 14,22,30rpm 执行机构1的运动功能 由于电动机的转速为1430rpm ,为了在执行机构1的主动件上分别得到14、22、30rpm 的转速,则由电动机到执行机构1之间的总传动比i z 有3种,分别为 i z1= 141430 =102.14 i z2=221430=65.00 i z3=30 1430=47.67 总传动比由定传动比i c 和变传动比i v 两部分构成,即 i z1=i c i v1 i z2=i c i v2 i z3=i c i v3 3种总传动比中i z1最大,i z3最小。由于定传动比i c 是常数,因此,3种变传动比中i v1最大,i v3最小。为满足最大传动比不超过4,选择i v1 =4 。 定传动比为 i c = v1 z1i i =4102.14=25.54 变传动比为 i v2= c z2i i =54.2565=2.55 i v3= c z3i i =54 .2547.67=1.87 传动系统的有级变速功能单元如图所示。 i=4,2.55,1.87 有级变速运动功能单元

哈工大模电期末考试题及答案

一、 填空(16分) 1、在电流控制方式上,双极型晶体管是__电流控制电流源____型,而场效应管是__电压控制电流源___型;二者比较,一般的由_____场效应管___构成的电路输入电阻大。 2、放大电路中,为了不出现失真,晶体管应工作在___放大___区,此时发射结___正偏______,集电结___反偏______。 3、负反馈能改善放大电路性能,为了提高负载能力,应采用___电压___型负反馈,如果输入为电流源信号,宜采用___并联___型负反馈。 4、正弦波振荡电路应满足的幅值平衡条件是___AF=1____。RC 振荡电路、LC 振荡电路及石英晶体振荡电路中,___石英晶体振荡电路___的频率稳定性最好。 5、直流电源的组成一般包括变压器、_整流电路__、_滤波电路_和_稳压电路_。 6、下列说法正确的画√,错误的画× (1)放大电路的核心是有源器件晶体管,它能够实现能量的放大,把输入信号的能量放大为输出信号的能量,它提供了输出信号的能量。 ( × ) (2)共集组态基本放大电路的输入电阻高,输出电阻低,能够实现电压和电流的放大。 ( × ) (3)图1所示的文氏桥振荡电路中,对于频率为01 2f RC π=的信号,反馈信 号U f 与输出信号U o 反相,因此在电路中引入了正反馈环节,能产生正弦波振荡。 ( × ) 第 1 页 (共 8 页) C C R R + + + +R R 3 4 o U ?f U ?t 图1

试 题: 班号: 姓名: 二、(18分)基本放大电路及参数如图2所示,U BE =0.7V ,R bb ’=300?。回答下列各问: (1) 请问这是何种组态的基本放大电路?(共射、共集、共基) (2) 计算放大电路的静态工作点。 (3) 画出微变等效电路。 (4) 计算该放大电路的动态参数:u A ,R i 和R o (5) 若观察到输出信号出现了底部失真,请问应如何调整R b 才能消除失真。 图2 答:(1)是共射组态基本放大电路 (1分) (2)静态工作点Q : Vcc=I BQ *R b +U BEQ +(1+β) I BQ *R e ,即15= I BQ *200k ?+0.7V+51* I BQ *8k ?, ∴I BQ =0.0235mA (2分) ∴I CQ =βI BQ =1.175mA , (2分) ∴U CEQ =V cc-I CQ *R C -I EQ *R E ≈V cc-I CQ *(R C +R E )=15-1.175*10=3.25V (2分) (3)微变等效电路 o (4分) (4)r be =r bb ’+(1+β)U T /I EQ =0.2+51*26/1.175=1.33K ? A u =-β(R c //R L )/r be =-50*1.32/1.33=-49.6 (2分) Ri=R b //r be ≈1.33K ?; (2分) Ro ≈Rc=2K ? (2分) (5)是饱和失真,应增大R b (1分)

汽车尾灯_数电自主实验报告

数电自主实验报告 实验名称汽车尾灯控制电路设计学号:姓名:班 (教师签名): 日期 一、实验任务 1、设计汽车尾灯控制电路; 2、进行各模块仿真实验,分析电路性能; 3、了解移位寄存器、计数器等的工作原理; 4、掌握74LS161、74LS194等芯片的使用、熟练multisim的使用。 二、实验设备 三、实验原理 (1)实验原理图 汽车尾灯控制电路原理图如图1所示。

图1中按下左上角A\B\C\D四个开关分别控制刹车Ss\停车St\左转弯SL\右转弯SR,对应着右边X4~X6、X7、X1~X3七个灯的状态。左下角的74LS161为四进制计数器,产生(0000,0001,0010,0011)四个拍;74LS194当S0S1=10时控制左灯X1~X3右移、S0S1=01时控制右灯X4~X6左移。 发现:开关模块比教材中复杂才能真正实现功能:刹车时中间灯不亮两边亮(且不管左右转弯状态)、紧急状态时所有灯全闪烁。 (2)74LS161分析 结果:74LS161确实四进制计数器,产生Q0Q1=00、10、01、11的循环,Q2控制每四个节拍产生一个脉冲,经反相器使复位端CLR清零一次。 发现:multisim中74LS161为下降沿触发,与教材不同,故需接反相器。

(3)整个系统仿真分析: 左转弯时检测如下。 分析:74LS161\74LS194的输出如图,确实能带动左灯X1~X3右移闪烁。 发现:194Q3瞬间脉冲电压也能点亮灯泡。 右转弯时检测结果如下。 分析:74LS161\74LS194的输出如图,确实能带动右灯X4~X6左移闪烁。

四、实验内容与步骤 1、左转弯:按下C键,左灯X1~X3右移闪烁。 2、右转弯:按下D键,右灯X4~X6左移闪烁。 3、紧急转态:同时按下C和D键,所有灯按一定频率闪烁。 发现:左右灯和中间的灯闪烁频率是不一样的。中间灯闪烁的更快。

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

哈工大模电期末考试题及答案

哈工大 2008 年 秋 季学期 模拟电子技术 试 题 题号 一 二 三 四 五 六 七 八 九 十 总分 分数 一、 填空(16分) 1、在电流控制方式上,双极型晶体管是__电流控制电流源____型,而场效应管是__电压控制电流源___型;二者比较,一般的由_____场效应管___构成的电路输入电阻大。 2、放大电路中,为了不出现失真,晶体管应工作在___放大___区,此时发射结___正偏______,集电结___反偏______。 3、负反馈能改善放大电路性能,为了提高负载能力,应采用___电压___型负反馈,如果输入为电流源信号,宜采用___并联___型负反馈。 4、正弦波振荡电路应满足的幅值平衡条件是___AF=1____。RC 振荡电路、LC 振荡电路及石英晶体振荡电路中,___石英晶体振荡电路___的频率稳定性最好。 5、直流电源的组成一般包括变压器、_整流电路__、_滤波电路_和_稳压电路_。 6、下列说法正确的画√,错误的画× (1)放大电路的核心是有源器件晶体管,它能够实现能量的放大,把输入信号的能量放大为输出信号的能量,它提供了输出信号的能量。 ( × ) (2)共集组态基本放大电路的输入电阻高,输出电阻低,能够实现电压和电流的放大。 ( × ) (3)图1所示的文氏桥振荡电路中,对于频率为01 2f RC π=的信号,反馈信 号U f 与输出信号U o 反相,因此在电路中引入了正反馈环节,能产生正弦波振荡。 ( × ) 第 1 页 (共 8 页) 班号 姓名 C C R R + + + +R R 3 4 o U ?f U ?t 图1

直流稳压电源设计实验报告

直流稳压电源设计实验报 告 Prepared on 22 November 2020

实训报告 题目名称:直流稳压电源电路 系部:电气与信息工程系 专业班级:机制 14-3 学生姓名:郭欣欣 学号: 指导教师:刘岩 完成日期: 2018年1月17日 摘要 随着电子技术的快速发展,高性能的电子电路对于电源供电质量的要求越来越高,如何设计出能满足高性能电路要求的高精度电源便成为一大课题。直流稳压源为电路提供直流电压和能量,其输出电压的品质直接决定的电源性能的好坏。 本实验旨在利用交流变压器、整流环节、滤波环节和集成元件稳压电路将交流电压转化为直流电压输出,并且对衡量稳压电路性能的几种主要参数进行了测试和分析。 随着电子技术的快速发展,高性能的电子电路对于电源供电质量的要求越来 越高,如何设计出能满足高性能电路要求的高精度电源便成为一大课题。直流稳 压源为电路提供直流电压和能量,其输出电压的品质直接决定的电源性能的好坏。本实验旨在利用交流变压器、整流环节、滤波环节和集成元件LM317稳压电路将220V交流电压转化为5V直流电压输出,并且对衡量稳压电路性能的几种主要参数进行了测试和分析。 关键词:半波整流电容滤波稳压电路稳压系数纹波电压 目录 一、设计要求 (1) 二、原理分析与设计步骤 1.直流稳压电路结构的选择 (1) 2.交流变压器 (2) 3.整流电路 (2)

4.滤波电路 (2) 5.集成稳压电路 集成稳压器件LM317 (3) LM317典型接法 (4) 6.参数计算与器件选择 (4) 电路参数计算 (4) 元器件清单 (5) 三、实验步骤与测试结果 1.电路搭接与仪器调试 (6) 2.性能参数测试 稳压系数的测量 (6) 输出电阻的测量 (6) 纹波电压的测量 (7) 测量结果分析 (7) 四、实验小结 (7)

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

哈工大数电实验

姓名班级学号 实验日期节次教师签字成绩 可调频双花型彩灯控制器 1.实验目的 在许多场合可以看到LED彩灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。为了将数字电路的知识灵活的运用到实际应用中,现设计一个能够控制闪烁频率并且能变换花型的LED彩灯的控制电路。 2.总体设计方案或技术路线 由该控制电路的功能可知,该控制器的电路由三部分构成,第一部分是时钟脉冲发生电路,第二部分是花型选择电路,第三部分是花型产生电路。首先,要做变频,可以通过给定不同频率的时钟脉冲来控制,而555定时器构成的多谐振荡电路恰好有输出不同频率波形的功能,通过改变外接电阻阻值即可轻松改变输出波形的频率,所以这一部分用555定时器来产生不同频率的脉冲,再用2/8分频器分频;受到实验室的实验箱的限制,本次实验仅产生两种花型做演示,选择电路由74LS138译码器完成;花型彩灯的花型需要序列脉冲发生器产生,移位寄存器74LS194组成的脉冲发生器可以实现此功能。 3.实验电路图

4.仪器设备名称、型号和技术指标 实验箱、555定时器*1、74LS138*1、74LS93*1、74LS194*2、74LS20*1、74LS32、5kΩ电阻*1、10kΩ滑动变阻器*1、1μF电容*2 5.理论分析或仿真分析结果 电路接通后,555定时器输出端开始产生脉冲信号,由于此时频率较高,不便于观察,所以需降频,经过2/8分频器之后,频率有明显下降,将此信号接到译码器的G1端,译码器B、C端接低电平,A端手动控制,Y0、Y1端分别接到两个74LS194芯片的CP端,当A接低电平时,Y0有效,此时第一个194芯片被选中,A接高电平,第二个194芯片被选中。下表为要实现的花型,按此表画卡诺图并化简,得到花型1的 D SR=~(Q A Q B Q C Q D),花型1的D SR=~Q D,并由此连接电路。两片194芯片的输出通过或门 9 10000001

(完整版)哈工大模电习题册答案

【2-1】 填空: 1.本征半导体是 ,其载流子是 和 。两种载流子的浓度 。 2.在杂质半导体中,多数载流子的浓度主要取决于 ,而少数载流子的浓度则与 有很大关系。 3.漂移电流是 在 作用下形成的。 4.二极管的最主要特征是 ,与此有关的两个主要参数是 和 。 5.稳压管是利用了二极管的 特征,而制造的特殊二极管。它工作在 。描述稳压管的主要参数有四种,它们分别是 、 、 、和 。 6.某稳压管具有正的电压温度系数,那么当温度升高时,稳压管的稳压值将 。 1. 完全纯净的半导体,自由电子,空穴,相等。 2. 杂质浓度,温度。 3. 少数载流子,(内)电场力。 4. 单向导电性,正向导通压降U F 和反向饱和电流I S 。 5. 反向击穿特性曲线陡直,反向击穿区,稳定电压(U Z ),工作电流(I Emin ),最大管耗(P Zmax )和动态电阻(r Z ) 6. 增大; 【2-2】电路如图2.10.4所示,其中u i =20sinωt (mV),f =1kHz ,试求二极管VD 两端电压和通过它的电流。假设电容C 容量足够大。 - +- +C R +k 5ΩV 6i u VD +- D u D i a) ( 图2.10.4 题2-5电路图 1.静态分析 静态,是指u i =0,这时u i 视作短路,C 对直流视作开路,其等效电路如图1.4.2(a)所示。不妨设U D =0.6V 则 D D 6V (60.6)V 1.08mA 5k U I R --= ==Ω 对于静态分析,也可以根据二极管的伏安特性曲线,用图解法求解。 2.动态分析 对于交流信号,直流电源和电容C 视作短路;二极管因工作在静态工作点附近很小的范 围内,故可用动态电阻r d 等效,且D d D 1i r u ?=?,由此可得等效电路如图1.4.2(b)所示。 二极管伏安特性方程: )1e (T D /S D -=U u I i (1.4.1) 由于二极管两端电压U D ?U T =26 mV ,故式1.4.1可简化为: T D /S D e U u I i ≈ T D D D d d d 1U I u i r ≈=

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

哈工大2011年数电期末试题+答案

哈工大2011 年秋季学期 数字电子技术基础试题(A) 一、(10分)填空和选择填空(每空1分) 1.根据反演规则,若Y=AB C D C +++,则Y=() AB C D C ++?。 2. 图1所示门电路均为TTL门,则电路输出P1=() AB BC AB BC + ;P2=() A C C A C ++。 P2 C P1 图1 3.由TTL门组成的电路如图2所示,已知它们的输入短路电流为 I S= 1.6mA,高电平输入漏电流I R=40μA。试问:当A=B=1时,G1的灌(拉,灌)电流为 3.2mA;A=0时,G1的拉(拉,灌)电流为160μA。 图2 4.3位扭环形计数器的计数长度为 6 。 5.某EPROM有8条数据线,13条地址线,则存储容量为64kbit。 6.某512位串行输入串行输出右移寄存器,已知时钟频率为4MH Z,数据从输入端到达输出端被延迟128 μs。

二、(6分)F (A ,B ,C ,D )=(0,2,3,4,5,6,7,11,12)(8,9,10,13,15)m d +∑∑,用两片74LS138和最少的二输入与门实现F 。 BIN /OCT BIN /OCT ( I ) ( II ) B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 图3 解: 114114F m m m m =+= BIN/OCT BIN/OCT ( I )( I I )B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 D A B C 1 F

实验五数字频率计设计

实验项目名称:数字频率计设计 姓名:雷锋一号学号:123456789 班级:通信121 实验时间:星期四晚上 姓名:雷锋二号学号:123456789 班级:通信121 实验地点: 407 一、实验目的 1. 掌握单片机片内定时器的使用方法。 2. 掌握基于单片机片内定时器的数字频率计设计方法。 二、实验内容 基于单片机片内定时器的数字频率计设计。要求: (1)测频率范围:10Hz ~ 10K Hz。为保证测量精度分为三个频段: 10Hz ~ 100 Hz 100Hz ~ 1K Hz 1 K Hz ~ 10K Hz 当信号频率超过规定的频段上限时,设有超量程指示。三个频段之间用手动切换。 (2)输入波形:低频函数信号发生器输出的矩形波,幅度为3V 。 (3)测量误差:σ≤±1%。 (4)显示和响应时间: 测量结果用三位半导体数码管显示,要求显示数码稳定清晰。三个频段的最大显示数分别为99.9 Hz,999. Hz,9.99 K Hz,为此需要控制小数点位置,并用两个发光二极管分别显示频率单位:Hz 或K Hz,详见表1。 三、实验说明 通过本实验,掌握单片机片内定时器的使用方法,了解数字频率计的测量原理及测量电路设计方法。掌握基于单片机的数字频率计工作原理与设计方法。 必须用模块化方法进行C语言程序设计。

四、实验仪器和设备 PC机、Keil uVision2软件,C8051F020单片机,EC3在线仿真器。 五、实验原理 频率测量的方法常用的有测频法和测周法两种。 (1)测频法 测频法的基本思想是让计数器在闸门信号的控制下计数1秒时间,计数结果是1秒内被测信号的周期数,即被测信号的频率。若被测信号不是矩形脉冲,则应先变换成同频率的矩形脉冲。测频法的原理框图如图6所示。 图中,秒脉冲作为闸门信号,当其为高电平时,计数器计数;低电平时,计数器停止计数。显然,在同样的闸门信号作用下,被测信号的频率越高,测量误差越小。当被测频率一定时,闸门信号高电平的时间越长,测量误差越小。但是闸门信号周期越长,测量的响应时间也越长。 例如,闸门信号高电平时间为1秒,被测信号频率的真值为2Hz,如图2-2-2所示。由 图6 频率测量原理框图 图可知,无论被测信号的频率是多少,测量时可能产生的最大绝对误差均为±1Hz,即 f测-f真=±1Hz 所以,最大相对误差为 σmax=(f测-f真)/ f真=±1/ f真 由上式可知,在闸门信号相同时,测频法的相对误差与被测信号的频率成反比。因此测频法适合于测量频率较高的信号。 f真=2 图7 测频法的误差 (2)测周法 当被测信号频率较低时,为保证测量精度,常采用测周法。即先测出被测信号的周期,再换算成频率。测周法的实质是把被测信号作为闸门信号,在它的高电平的时间内,用一个标准频率的信号源作为计数器的时钟脉冲。若计数结果为N,标准信号频率为f1,则被测信号的周期为 T = T1·N 被测信号的频率为 f = 1/T1·N = f1/N 利用测周法所产生的最大绝对误差,显然也等于±1个标准信号周期。如果被测信号周期的真值为T真= T1·N,则T测= T1·(N±1) σmax=(f测-f真)/ f真= T真/T测– 1=±1/(N±1)

相关文档
最新文档