EDA十六分钟倒计时课程设计

EDA十六分钟倒计时课程设计
EDA十六分钟倒计时课程设计

燕山大学

课程设计说明书题目:十六分钟倒计时

学院(系):电气工程学院

年级专业: 11级检测

学号: 110103020129 学生姓名:宋健

指导教师:张强郑兆兆

教师职称:实验师实验师

燕山大学课程设计评审意见表

指导教师评语:

①该生学习态度(认真较认真不认真)

②该生迟到、早退现象(有无)

③该生依赖他人进行设计情况(有无)

平时成绩:指导教师签字:

2014 年 3月14日图面及其它成绩:

答辩小组评语:

①设计巧妙,实现设计要求,并有所创新。

②设计合理,实现设计要求。

③实现了大部分设计要求。

④没有完成设计要求,或者只实现了一小部分的设计要求。

答辩成绩:组长签字:

2014 年 3月14日课程设计综合成绩:

答辩小组成员签字:

2014年 3月14日

EDA课程设计---流水灯设计

EDA课程设计流水灯设计

目录 一、摘要··3 二、流水灯设计目的··4 三、流水灯设计流程··4 四、流水灯设计程序··5 五、流水灯设计管脚分配··7 六、功能仿真图··8 七、原理图波形图··9 八、设计注意事项··10

九、课程设计总结··11 十、参考文献··12 十一、评分表··13 一、摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、

自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。 课程设计主要的目的是通过某一电路的综合设计,了解一般电路综合设计过程、设计要求、应完成的工作内容和具体的设计方法、通过设计也有助于复习、巩固以往的学习内容、达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强学生的动手能力。在此过程中培养从事设计工作的整体观念。 课程设计应强调以能力培养为主,在独立完成设计及制作任务同时注意多方面能力的培养与提高,主要包括以下方面: ·独立工作能力和创造力。 ·综合运用专业及基础知识,解决实际工程技术问题的能力。 ·查阅图书资料、产品手册和各种工具书的能力。 ·写技术报告和编制技术资料的能力。 ·实际动手能力。

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

EDA课程设计说明书参考格式

<>课程设计说明书 题目 院、部: 学生姓名: 指导教师:王晓丽职称助教 专业: 班级: 完成时间:

摘要(三号,黑体,居中,字间空两格字符) (空二行换行) 空4格打印摘要内容(小四号宋体,行距20)。 关键词:(摘要内容后下空一行打印“关键词”三字(小四号黑体),其后为关键词(小四号宋体),每一关键词之间用分号隔开,最后一个关键词后不打标点符号。 ABSTRACT ①居中打印“ABSTRACT”,再下空二行打印英文摘要内容。②摘要内容每段开头留四个空字符。③摘要内容后下空一行打印“Key words”,其后为关键词用小写字母,每一关键词之间用分号隔开,最后一个关键词后不打标点符号。 Key words :aaa;bbb;ccc

目录(3号,黑体,居中) (空1行,以小4号黑体设置字体及大小,行间距22、字间距标准) 1 XXXXXX………………………………………………………………………… 1.1 XXXXXX……………………………………………………………………… 1.2 XXXXXX……………………………………………………………………… ┇ 2 XXXXXX………………………………………………………………………… 2.1 XXXXXX……………………………………………………………………… 2.2 XXXXXX……………………………………………………………………… ┇ 3 4 结束语 参考文献………………………………………………………………………………. 致谢……………………………………………………………………………………附录……………………………………………………………………………………

EDA课程设计参考题目

附录I EDA课程设计参考题目 注:在以下设计中只可以用一个参考时钟 一、设计数码管显示控制器 要求: 1.能自动一次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环; 2.打开电源自动复位,从自然数列开始显示。 二、设计乒乓球游戏机 要求: 1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍; 2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分; 3.甲、乙各有一数码管计分; 4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。 三、设计智力竞赛抢答器 要求: 1.五人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.每人一个发光二极管,抢中者灯亮; 3.有人抢答时,喇叭响两秒钟; 4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。 四、设计数字钟 要求: 1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频) 2.能显示时、分、秒,24小时制; 4.时和分有校正功能; 5.整点报时,喇叭响两秒; 6.可设定夜间某个时段不报时; 注意:硬件资源的节约,否则器件内资源会枯竭。 五、设计交通灯控制器 要求: 1.东西方向为主干道,南北方向为副干道; 2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换; 4.换向时要有4秒的黄灯期;

EDA课程设计题目

计算机辅助设计参考题目 设计一数字式竞赛抢答器 1、设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢 答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显 示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10 分,答错一次减10分。 教学提示: 1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使 触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。 2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别, 用第一抢答信号推动扬声器发出音响。 3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。 设计二数字钟 1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。 2、熟练掌握各种计数器的使用。 3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。 4、能用低位的进位输出构成高位的计数脉冲。 教学提示: 1、时钟源使用频率为0.1Hz的连续脉冲。 2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。 3、时钟显示使用数码管显示。 4、“时显示”部分应注意12点后显示1点。 5、注意各部分的关系,由低位到高位逐级设计、调试。 设计三数字频率计 1、设计一个能测量方波信号的频率的频率计。 2、测量的频率范围是1Hz~9999Hz。 3、结果用十进制数显示。 教学提示: 1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被 测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。 所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。 2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。 3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms, 10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。 4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时 基信号的一个周期),输入信号才通过主控门。 5、f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

EDA课程设计参考题目

EDA课程设计参考题目一、设计彩灯控制器一 要求: 1.有八只LED,L0……L7 2.显示顺序如下表 3

要求: 1.8 个灯全亮; 2.8 个灯全灭; 3.从左边第一个开始每隔一个亮; 4.从右边第一个开始每隔一个灭; 5.左4个灭,右4个亮; 6.左4个亮,右4个灭; 7.显示间隔0.5S,1S可调。 三、设计彩灯控制器三 要求: 1. 有十只LED,L0……L9 2. 显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调。 四、自设计动奏乐器一 要求: 1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。 1 1 5 5 6 6 5 – 4 4 3 3 2 2 1 – 5 5 4 4 3 3 2 – 5 5 4 4 3 3 2 – 3.附加:显示乐谱。 五、设计自动奏乐器二 要求: 1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。 1 3 1 3 5 6 5 – 6 6 ? 1 6 5 ––– 6 6 ? 1 6 5 5 3 1 2 2 3 2 1 ––– 3.乐曲自选。 4.附加:显示乐谱。 六、设计汽车尾灯控制器 要求: 1.用6个发光二极管模拟6个汽车尾灯(左、右各3个)。 2.汽车往前行驶时,6个灯全灭。当汽车转弯时,若右转弯,右边3个尾灯从左至右顺序 亮灭,左边3个灯全灭;若左转弯,左边3个尾灯从右至左顺序亮灭,右边3个灯全灭; 汽车刹车时,6个尾灯同时明、暗闪烁;汽车在夜间行驶时,左右两侧的灯同时亮,供照明使用。

要求: 1.在十字路口的两个方向上各设一组红绿黄灯,显示顺序为:其中一个方向是绿灯、黄灯、 红灯,另一个方向是红灯、绿灯、黄灯。 2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、 红灯的持续时间分别是20s、5s、25s。 八、设计数字频率计 要求: 1.输入为矩形脉冲,频率范围0~99MHz; 2.用五位数码管显示;只显示最后的结果,不要将计数过程显示出来; 3.单位为Hz和KHz两档,自动切换。 九、设计智力竞赛抢答器 要求: 1.四人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。 3.有人抢答时,喇叭响两秒钟; 4.答题时限为100秒钟(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 十、设计数字秒表 要求: 1.要求设置启/停开关。当按下启/停开关,将启动秒表开始计时,当再按一下启/停开关时, 将终止计时操作。 2.数字秒表的计时范围是0秒~59分59.99…… 3.要求计时精度为0.01s。 4.复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就 清零,并做好下次计时的准备。 十一、设计数字钟 要求: 1.具有时、分、秒计数显示功能,且以24小时循环计时。 2.具胡清零的功能,且能够对计时系统的小时、分钟进行调整。 3.具有整点报时功能。 十二、设计三层电梯控制器 要求: 1.每层电梯入口处设有上下请求开关,电梯内有乘客到达层数的的停站请求开关。 2.设有电梯所处位置指示装置及电梯运行模式(上升和下降)指示装置。 3.电梯每秒钟升(降)一层。 4.电梯到达有请求的楼层,电梯经过lS电梯门开,打开4S后,电梯门关闭(开门指示灯灭)。电梯继续运行,直至完成最后的一个请求信号后停留在当前层。 5.能记忆电梯内外所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号留至执行完后消除。 6.电梯运行规则:当电梯处于上升模式时,只响应比电梯所在的位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼清求执行完毕;如果高层有下楼请求,则直接升到有下

eda课程设计报告题目

EDA课程设计目录 1 乘法器设计2 1.1设计要求2 1.2设计提示2 2八位序列检测器设计4 2.1设计要求4 2.2 设计提示4 3 多功能数字钟的设计5 3.1 设计要求5 3.2 设计提示6 5 数字频率计7 5.1 设计要求7 5.2 设计提示8 6 拔河游戏机10 6.1设计要求10 6.2设计提示10 7 洗衣机控制器11 7.1 设计要求11 7.2设计提示12 8 简易音乐播放器14 8.1设计任务14 8.2设计提示14

1 乘法器设计 1.1设计要求 设计一个能进行两个十进制数相乘的乘法器,乘数和被乘数均小于100,通过按键输入,并用数码管显示,显示器显示数字时从低位向高位前移,最低位为当前显示位。当按下相乘键后,乘法器进行两个数的相乘运算,数码管将乘积显示出来。 系统框图如图1-1所示。 图1-1 乘法器系统框图 1.2设计提示 表1-1 编码器真值表

此设计问题可分为乘数被乘数输入控制模块、寄存模块、乘法模块和扫描显示模块几部分。 乘数和被乘数的输入仍用数据开关K1-K10分别代表数字1、2、…、9、0,用编码器对数据开关K1~K10的电平信号进行编码,编码器真值表如表1-1所列。用两个数码管显示乘数,两个数码管显示被乘数。 设置“相乘”信号mul,当乘数输入完毕后,mul有效使输入的乘数送寄存器模块寄存。再输入被乘数,显示在另两个数码管上。 设置“等于”信号equal,当乘数和被乘数输入后,equal有效,使被乘数送寄存模块寄存,同时启动乘法摸块。 两数相乘的方法很多,可以用移位相加的方法,也可以将乘法器看成计数器,乘积的初始值为零,每一个时钟周期将被乘数的值加到积上,同时乘数减一,这样反复执行,直到乘数为零。 硬件系统示意图如图1-2所示。 图1-2 乘法器硬件系统示意图 可参考你们的EDA教材中的乘法器设计。

EDA课程设计流水灯设计

EDA课程实践报告 基于verilog的流水灯设计 学院:物理与电气工程学院 专业:11级电子信息工程 姓名:蒋美菊 学号:111102088

基于verilog的流水灯设计 一、摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。 课程设计主要的目的是通过某一电路的综合设计,了解一般电路综合设计过程、设计要求、应完成的工作内容和具体的设计方法、通过设计也有助于复习、巩固以往的学习内容、达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强学生的动手能力。在此过程中培养从事设计工作的整体观念。 课程设计应强调以能力培养为主,在独立完成设计及制作任务同时注意多方面能力的培养与提高,主要包括以下方面: ·独立工作能力和创造力。 ·综合运用专业及基础知识,解决实际工程技术问题的能力。 ·查阅图书资料、产品手册和各种工具书的能力。 ·写技术报告和编制技术资料的能力。 ·实际动手能力。 利用学到的电子技术知识,通过布置具有一定难度的设计题目,帮助学生熟悉课程设计任务和设计方法。 二、设计目的

eda课程设计1203010

《EDA技术》 课程设计报告 题目: FPGA数字时钟设计 班级: 12电信本2 学号: 1203010211 姓名:高翔 同组人员:汤吉鑫王正提 指导教师:杨祖芳 2015年 5月1日

目录 1 设计任务 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2 总体设计框图 (1) 3 单元电路设计 (1) 3.1 秒计数器模块设计与实现 (1) 3.2 分计数器模块设计与实现 (3) 3.3 时计数器模块设计与实现 (4) 3.4 校准模块 (5) 3.5 BCD七段显示译码器 (5) 3.6 3-8线译码器模块设计与实现 (6) 3.7 分频器的设计与实现 (7) 3.8 去抖模块 (7) 3.9 动态扫描数码显示器 (8) 3.10 顶层原理设计图 (8) 4 硬件测试与结果分析 (9) 4.1 硬件测试 (9) 4.2 测试过程及结果分析 (9) 5 收获与体会 (10) 参考书目 (10) 附录 (11)

1 设计任务 设计并实现具有一定功能的数字钟。包括清零、置数、计数、报时等功能。 (1)具有时、分、秒计数显示功能,且以24小时循环计时。 (2)具有清零的功能,且能够对计时系统的小时、分钟进行调整。 (3)具有整点报时功能。 2 总体设计方案 2.1 设计思路 本设计采用层次化设计方式,先设计数字时钟的底层器件:秒计数器、分 计数器、时计数器、bcd 七段显示译码器、3-8译码器、分频器、动态扫描数码显示器。顶层采用原理图设计方式,将所设计的底层器件连接起来构成一个具有计时和调时功能的数字时钟。 2.2 总体设计框图 图2-2 设计框图 3 单元电路设计 3.1 秒计数器模块设计与实现 计时模块使用的时钟信号为1Hz 。秒计时模块为60进制计数器,也可以看为个位为10进制十位为6进制。当秒的个位显示到9时,下一秒向十位进1 并将个位重新归零并开始计数,当十位为5个位为9时,计时器下一秒向分计数器进1并同时将秒计时器个位、十位归零。也可以看成计时器从00开始计数到59,当秒显示为59时,下一秒将显示00并从新开始计数,同时向分位为进1。当秒计时模块中扫描到有按键按下时,直接向分计时器进1,但不影响秒计时器的正常计数(扫描按键是

EDA课程设计报告

湘潭大学 EDA 课程设计报告 学院信息工程学院 专业班级电子信息工程2班 学号 2015550605 学生姓名毛圣杰 指导教师吴亚联 完成日期 2017年9月28日

课程设计任务书

目录 1.系统设计 (5) 1.1 系统方案设计 (5) 1.2 系统RTL图设计 (6) 1.3 代码编写与调试 (7) 2. 系统仿真与测试 (8) 2.1 系统时序仿真与分析 (8) 2.2硬件下载与测试 (9) 3. 收获与体会 (10) 4. 参考文献 (11) 5. 附录 (12) 附录1:代码 (12) 附录2:硬件测试结果图 (14) 附录3:问题回答记录表 (16)

篮球竞赛30秒倒计时器 摘要: 计时器在人类生活中有着非常重要而广泛的应用,古时候人们就开始用沙漏和水漏做定时工具,随着科技和社会的发展,人们开始用全新的方法来改造计时器以达到准确计时的目的。 篮球竞赛计时器就是一种典型的计时器的应用。在篮球比赛中规定球友持球的时间不能超过30秒,否则就是犯规。本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间进行30秒限制,一旦球员持球的时间超过了30秒,它将自动报警从而判定刺球员的犯规。 本文设计用的是实现以中小规模集成电路设计计时器的方法,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。它是由秒脉冲产生电路、计数电路、译码显示电路、控制电路及报警电路组成。通过QUARTUS II设计并进行仿真,同时在试验箱上连接制作了硬件实现电路。 关键词: 30秒计时器;

1.系统设计 1.1 系统方案设计 图1-1 30秒计时器系统原理框图 该系统包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等5个部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成30s计时功能,而控制电路具有直接控制计数器的启动计数、暂停/连续计数、译码显示电路的显示和灭灯功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器灭灯。当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示30s字样;当启动开关断开时,计数器开始计数;当暂停/连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停/连续开关拨在连续时,计数器继续递减计数。另外,外部操作开关都应采取去抖动措施,以防止机械抖动造成电路工作不稳定。 在经济方面,因为结构简单,便于减少CPU的占用时间,减少能耗,从而降低用电成本,同时能节省操作人员的操作时间,提高了效率,也避免了劳动力的浪费。

EDA课程设计要求与题目

14-15学年EDA课程设计题目与要求 一、实习要求与说明 1、分组:每2人一组设备,每位同学一个设计题目,要求独立完成。 2、要求每位同学独立在自己的实验板上进行程序的编写和调试。 3、日程安排:实习日期2014年12月29日——2015年1月16日,共3周。第1周完 成选题、熟悉电路原理图、编写程序。第2周和第3周完成电路和程序调试。1月16日,按照实习报告格式要求,提交实习报告。 4、验收:1月14日、15日(20周星期三、星期四)实习验收。由指导老师组织验收, 每位同学用自己的实验板进行现场的程序操作与演示(包括仿真设备的使用)。验收的同时每位同学参加答辩。验收和答辩时对同学设计结果的确认。 5、成绩:根据验收结果和学生实习期间的表现,由实习组老师集体给出实习成绩。实习 总成绩由实习表现(占20%)+实习验收结果(占80%)两部分组成。 6、对于不能按时完成实习任务或未经批准擅自提前离校的同学,实习成绩为不及格。 7、考勤:上午8:30~11:30下午2:30~5:30。每位同学至少保证4小时实习时间。 实习期间,不准提前回家。若有事需主管院长、主管书记批准才可离校。 二、EDA课程设计题目 注意:所有题目凡涉及到按键功能的,禁止使用拨码开关。 1.LED点阵汉字显示 要求:及格:开发板上8*8点阵模块上显示字符“?”; 中:通过按键设置四种不同的清屏方式(下清屏,右卷屏,右下斜角卷屏,中心开屏); 良:滚动显示字符“?”; 优:滚动显示字符“ABCD”。 2.串口通信设计:(计算机安装串口调试助手) 及格:上电后能通过串口向计算机发送数据(ABCD),能够将计算机发送的固定长度的字符(5位),原样返回发送给计算机。 中:可接收任意字符长度(小于20个字符),并原样输出; 良:在中的基础上,串口接收到数据后能在液晶1602上显示出来,并显示接收的字符个数。如果字符长度大于液晶显示的长度,则通过按键能够翻页显示。 优:在良的基础上,用键盘可以输入字符(输入数字)并在液晶上显示,输完后按发送键可以从串口发出给计算机。

课程设计-集成电路设计与EDA应用

中山大学南方学院 电子通信与软件工程系 集成电路设计与EDA 应用 课程设计指导 设计目的 通过具体的电路熟悉并实践CMOS VLSI 版图的设计流程。 设计背景 用0.5um/5V (5V 的器件最小器件的栅极长度L 为0.5um )的CMOS 工艺实现以下的逻辑表达式。电源电压为2.5V ,输出端要求驱动一个0.3pF 的负载电容。 设计内容 一. 组合逻辑门-静态互补CMOS 组合逻辑门或非再生电路(或时序电路)在任意时刻,输入和输出之间服从一个特定的布尔表达式。静态互补CMOS 是使用最广泛的逻辑类型。它是由上拉网络和下拉网络组合而成,实际上就是静态CMOS 反相器扩展为N 个输入。 静态CMOS 门是上拉网络PUN 和下拉网络PDN 的组合,如图所示。N 个输入的逻辑门,它的所有输入都同时分配到上拉和下拉网络,PUN 的作用是每当逻辑门的输出逻辑“1”时(取决于输入),它将提供一条在输出和VDD 之间的通路,同样,PDN 的作用是当逻辑门的输出逻辑“0”时,把输出连至GND 。PUN 和PDN 网络是以相互排斥的方式构成的,即在稳定时,两个网络中有且只有一个导通,这样一旦瞬态过程完成,总有一条路径存在于VDD 和输出端之间(即输出“1”),或存在于GND 和输出端之间(即输出“0”)。这就是说在稳定状态时,输出节点总是一个低阻节点。 根据De Morgan 定理,A +B ???????=A ??B ?,和A ?B ??????=A ?+B ?,可以看出一个互补CMOS 结构的上拉网络和下拉网络互为对偶网络。这意味着在上拉网络中并联的传输管

相应于在下拉网络对应器件的串联,反之亦然。因此为了构成一个CMOS门,可以用串、并联器件的组合来实现其中一个网络,如PDN,而另一个网络,如PUN,可以通过对偶原理来实现。 参考题目 以下给定不同的已知条件,或是布尔运算,或是真值表,完成设计内容。 1.已知布尔运算OUT=(A+B)?C?D a)由布尔运算写出CMOS组成的互补电路(注意,CMOS互补电路只能得到反 相的布尔函数)。 b)确定所有器件的尺寸比。要求根据以下的表格,使得每一条通路到输出端的 电阻都是30KΩ。(注意,任一器件组合,并联或是串联,只要使得VDD/GND 到输出OUT之间导通都可以算作一条通路。因此应有不止一条通路。) 表1.PMOS和NMOS的等效电路Req(W/L=1) L=0.25um|V GS|=V DD,|V GS|=V DD→V DD/2 c)仿真出在输入为以下状态时的输出延迟: A=B=C=1,D=1→0翻转时候的输出延迟; D=C=1,A=B=1→0反转时候的输出延迟; A=C=1,B=0,D=0→1翻转时候的输出延迟; A=D=1,B=0,C=0→1翻转时候的输出延迟; 2.已知以下的电路图 a)由已知的CMOS组成的互补电路写出布尔运算方程。

EDA课程设计数字时钟(闹钟)

课程设计说明书题目:闹钟 学院(系): 年级专业: 学号: 学生: 指导教师: 教师职称:

目录 第1章摘要 (1) 第2章设计方案…………………………………………………………………………… 2.1 VHDL简介…………………………………………………………………………… 2.2 设计思路…………………………………………………………………………… 第3章模块介绍…………………………………………………………………………… 第4章 Verilog HDL设计源程序………………………………………………………… 第5章波形仿真图………………………………………………………………………… 第6章管脚锁定及硬件连线……………………………………………………………… 心得体会 (17) 参考文献 (18)

第一章摘要 在当今社会,数字电路产品的应用在我们的实际生活中显得越来越重要,与我们的生活联系愈加紧密,例如计算机、仪表、电子钟等等,使我们的生活工作较以前的方式更加方便、完善,带来了很多的益处。 在此次EDA课程,我的设计课题是闹钟,使用VHDL语言进行编程完成。报告书主要由设计方案、模块介绍、设计源程序、仿真波形图和管脚锁定及硬件连线四部分组成。设计方案主要介绍了我对于设计课题的大致设计思路,之后各个部分将会详细介绍设计组成及程序。

第二章设计方案 §2.1 VHDL简介 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术,就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。 利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件; ②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。 EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算机辅助设计(Computer Assist Design,简称CAD)、计算机辅助工程设计(Computer Assist Engineering Design,简称CAE)和电子设计自动化(Electronic Design Automation,简称EDA)三个发展阶段。 常用的硬件描述语言有VHDL、Verilog、ABEL。 EDA技术是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产

EDA课程设计

哈尔滨理工大学荣成学院 EDA 课程设计 题目:蜂鸣器电路设计 班级:自动化13-2班 姓名:吴海涛 学号:1330130227

一、实验目的 (1)学习并掌握蜂鸣器的原理、设计方法。 (2)了解乐谱的基本知识,可以将乐谱转换为Quartus II 文件,掌握其演奏的原理。 (3)掌握设计中各模块的功能,能够填入并演奏新的曲子。 二、实验设备与器件 Quartus II 9.1软件、实验箱 三、实验原理 蜂鸣器发生基本原理组成每个音调的频率值以及音长所延续的时间是连续发生声响的两个基本数据,所以只要控制输出到蜂鸣器的频率和持续的时间,就可以是蜂鸣器发出“多来咪发梭拉西多”的音调。 乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。而要准确地演奏出一首乐曲,仅仅让蜂鸣器能够发声是不够的,还必须准确地控制乐曲的节奏,即每个音符的持续时间。由此可见,一首歌曲的产生应该考虑的两个因素:音符的产生频率和音的节拍(即声音持续的时间)。只要控制输出到扬声器的激励信号频率的高低和持续的时间,就可以使扬声器发声产生优美的歌曲。 蜂鸣器接口电路: 无源蜂鸣器的工作发声原理图如下:

有源蜂鸣器的工作发声原理图如下: 音调、分频值及频率预置数的表格如下: 四、实验步骤 1、新建工程(File—New Project Wizad) 2、建立新的文本

3、根据实验要求编写程序 4、进行引脚设置 5、对程序进行编译,点开RTL Viewer得到原理图

D Q PRE ENA CLR D Q PRE ENA CLR D ENA Q PRE CLR D Q PRE ENA CLR D Q PRE ENA CLR SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX = A[3..0]B[3..0] EQUAL SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL[2..0] DATA[7..0] OUT MUX SEL DATAA DATAB OUT0 MUX21 SEL DATAA DATAB OUT0 MUX21 SEL DATAA DATAB OUT0 MUX21 SEL DATAA DATAB OUT0 MUX21SEL DATAA DATAB OUT0 MUX21 SEL DATAA DATAB OUT0 MUX21 SEL DATAA DATAB OUT0 MUX21 SEL DATAA DATAB OUT0 MUX21 SEL DATAA DATAB OUT0 MUX21 = A[21..0]B[21..0] EQUAL + A[3..0] B[3..0]ADDER SEL DATAA DATAB OUT0 MUX21+ A[21..0]B[21..0]ADDER + A[12..0] B[12..0]ADDER = A[12..0] B[12..0]EQUAL = A[12..0] B[12..0]EQUAL = A[12..0] B[12..0]EQUAL = A[12..0] B[12..0]EQUAL = A[12..0]B[12..0] EQUAL clk_div2_OUT0 Equal9_OUT Equal7_OUT Equal6_OUT state[2..0] clk rst cnt[21..0] out_bit_tmp out_bit clk_div2[12..0] clk_div1[3..0] Mux0 Mux1 Equal0 4' h9 --Mux9 Mux16 Mux7 Mux6 Mux14 Mux13 Mux4Mux12 Mux11 Mux10 Mux8 state~[5..0] 6' h38 --clk_div2~[103..91] 13' h0000 --clk_div2~[90..78] 13' h0000 --clk_div2~[77..65] 13' h0000 --clk_div2~[64..52] 13' h0000 --clk_div2~[38..26] 13' h0000 -- clk_div2~[25..13] 13' h0000 -- clk_div2~[12..0] 13' h0000 -- out_bit_tmp~7 out_bit_tmp~6 out_bit_tmp~5 out_bit_tmp~4 out_bit_tmp~3 out_bit_tmp~2 out_bit_tmp~1 out_bit_tmp~0 Equal8 22' h3FFFFF --Add0 4' h1 -- clk_div1~[3..0] 4' h0 --Add1 22' h000001 -- Add2 13' h0001 -- Equal1 13' h0EEE -- Equal5 13' h09F7 --Equal4 13' h0B31 -- Equal2 13' h0D4D -- Equal3 13' h0BDA -- Mux15 Mux2 clk_div2~[51..39] 13' h0000 -- Mux5 Mux3 6、 下载到实验箱 五、 实验源程序 蜂鸣器顶层设计给出输入信号、输出信号:

EDA课程设计.

设计报告 课程名称在系统编程技术 任课教师黄慧 设计题目八位十进制频率计班级11级电子(2)班姓名潘凌林 学号1105012038

摘要 数字频率计是电子测量与仪表技术最基础的电子仪表类别之一,数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,而且它是数字电压必不可少的部件。当今数字频率计不仅是作为电压表,计算机,天线电广播通讯设备,工艺过程自动化装置、多种仪表仪器与家庭电器等许多电子产品中的数据信息输出显示器反映到人们眼帘。集成数字频率计由于所用元件少、投资少、体积小、功耗低,且可靠性高、功能强、易于设计和研发,使得它具有技术上的实用性和应用的广泛性。不论从我们用的彩色电视机、电冰箱、DVD还有我们现在家庭常用到的数字电压表数字万用表等等都包含有频率计。 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。数字频率计广泛应用于科研机构、学校、实验室、企业生产车间等场所。研究数字频率计的设计和开发,有助于频率计功能的不断完善、性价比的提高和实用性的加强。 本文关于八位十进制频率计的课程设计是在Quartus II软件中运用VHDL 语言编写程序,其测频范围智能在1Hz至10MHz之间并具有清零复位功能,其频率结果用八位数码管稳定显示.该程序主要包括三大子模块:控制模块,锁存模块和计数模块。本设计将主要从这三个子模块的功能描述,模块图,源程序以及仿真波形来分析并一步一步完成这整个总模块! 关键字:八位十进制频率计 VHDL语言控制模块锁存模块计数模块

一设计原理分析........................................................................................................................ - 3 - 1.1 数字频率计的基本原理.............................................................................................. - 3 - 1.2 系统总体框图.............................................................................................................. - 3 - 二方案选择................................................................................................................................ - 4 - 2.1 方案比较...................................................................................................................... - 4 - 2.2方案选定....................................................................................................................... - 6 - 三各功能模块的VHDL设计...................................................................................................... - 6 - 3.1 八位十进制数字频率计总功能模块.......................................................................... - 6 - 3.1.1 功能模块图...................................................................................................... - 6 - 3.1.2仿真波形........................................................................................................... - 7 - 3.2 测频控制信号发生器的功能模块及仿真.................................................................. - 7 - 3.2.1 功能描述.......................................................................................................... - 7 - 3.2.2功能模块图....................................................................................................... - 7 - 3.2.3仿真波形........................................................................................................... - 8 - 3.3 锁存器的功能模块及仿真.......................................................................................... - 8 - 3.3.1 功能描述.......................................................................................................... - 8 - 3.3.2 功能模块图...................................................................................................... - 8 - 3.3.3 仿真波形.......................................................................................................... - 8 - 3.4 十进制计数器的功能模块及仿真.............................................................................. - 9 - 3.4.1 功能描述.......................................................................................................... - 9 - 3.4.2 功能模块图...................................................................................................... - 9 - 子功能模块图.............................................................................................................. - 9 - 3.4.3 仿真波形........................................................................................................ - 10 - 四引脚定义.............................................................................................................................. - 11 - 五硬件测试.............................................................................................................................. - 11 - 六总结...................................................................................................................................... - 12 - 七参考文献............................................................................................................................ - 12 - 附录 ........................................................................................................................................... - 13 -

相关文档
最新文档