FPGA的机器人设计系统

FPGA的机器人设计系统
FPGA的机器人设计系统

本科毕业设计(论文)

题目: 基于FPGA的六足仿生机器人控制

系统设计

姓名: 李国超

学院: 机械电子工程学院

专业: 测控技术与仪器

班级: 1003071 学号: 100307110 指导教师: 姜树海职称:副教授

二○一 4 年 5月 15 日

摘要

六足仿生机器人因其很好的复杂地形适应能力,被越来越多的运用在野外侦查、救灾抢险中。由于六足仿生机器人在这方面的出色表现,科研人员们对六足机器人的研究也越来多。本课题将多足昆虫的行为学研究成果,融入到六足仿生机器人的设计与控制中,开发能在复杂自然环境中灵活运动的六足仿生机器人,对执行野外侦查、减灾救援等具有重大现实意义,对仿生机器人的发展具有重要的实际意义[1]。

六足仿生机器人嵌入式控制系统包括两个部分,第一部分是在Nios II 处理器内以软件方式实现,采用 C 语言编写应用程序,主要功能是实现机器人直行、转弯。第二部分是在FPGA 芯片内完成系统复位,串口收发等模块的实现,以及Nios II 的例化和周边I/O 信号读取与输出。硬件实现计算简单且计算速度较快,有多组并行处理的能力。采用Verilog HDL硬件描述语言实现硬件代码的编写。论文以Bioloid 公司生产的智能伺服舵机AX-12 组成六足机器人架构,利用内嵌Nios II 处理器的FPGA 控制实现机器人完成各种动作。研究采用Quartus II软件实现设计输入和硬件配置,使用Quartus II 中的SOPC Builer 构架SOPC 系统,实现了Nios II 处理器核、SDRAM 控制器、EPCS 控制器、PLL、232UART等的配置。六足仿生机器人的软件开发过程是利用软件开发工具Nios II 9.1Software Build Tools for Eclipse(简称EDS),对硬件系统中组件的驱动及应用程序进行设计。[1]鉴于课题的前期工作基础,设计实现了六足仿生机器人嵌入式控制系统,并进行了整机测试,测试结果表明:机器人实现单舵机灵活控制和多舵机协调控制,机器人行走平稳,达到预期要求。

关键词:六足;仿生机器人;控制;FPGA;SOPC

Research on Embedded Control System for Hexapod Bionic

Robot Based on FPGA

Abstract

More and more hexapod bionic robot is apply to field investigation, mitigation rescue with the good ability of adaptation to complex terrain.Because of the good behave of hexapod bionic robot in this field,more and more research specialist staff begain to research on hexapod bionic robot.So make themyriapod insect behavior studies results into robot design and control, development hexapod bionic robot flexible movement in complex natural environment to implementation of field investigation, mitigation rescue is great practical

significance and important practical significance to development of bionic robot.

On the basis of detailed analysis hexapod bionic robot control system researchstatus, Design hexapod robot embedded control system Based on SOPC (systemintegrated chip) servo control technology development environment, using FPGAembedded Nios II processor. The FPGA control chip produced by Altera Cyclone II EP2C8Q, and use SF - Nios II control panel based on this chip as robot controller. Hexapod bionic robot embedded control system consists of two parts. In the first part, Using C language Implementate functions in Nios II processor, the main function is to realize the trajectory planning of robot to go straight, turn, obstacle avoidance and temperature detection and storage and so on. The second part including complete system reset, serial transceiver module, and The Nios II instantiated and peripheral I/O signal read and output in the FPGA chip. The hardware implementation is simple and calculation speed is fast, and have a plurality of parallel processing capabilities. Hardware description language based on Verilog HDL. Based on Bioloid company production of intelligent servo steering gear of AX - 12 six-legged robot architecture, using Nios II embedded processor in FPGA to complete various actions. Hardware configuration realized by using the Quartus II software, and use the Quartus II SOPC Builer framework of SOPC system, realized the configuration of The Nios II processor, SDRAM controller, EPCS controller, PLL, 232UART, and so on. The software development process of Hexapod bionic robot is writing hardware drivers and application program with Eclipse (EDS) and Nios II 9.1 Software. Given topic preliminary basis work, the design has realized the hexapod bionic robot embedded control system, and the whole experiment. The experimental results show that the robot to achieve single flexible control of the steering gear and coordinated control with serval servos, obstacle avoidance and detection of the external environment, steady walk , the design rechieved the expected requirements.

Keywords: Hexapod; bionic robot; control; FPGA; SOPC

目录

第一章绪论............................................. - 1 -1.1课题来源及背景.................................................. - 1 -1.2课题的研究目的及意义............................................ - 1 -1.3国内外六足仿生机器人控制系统研究现状............................ - 1 -1.4课题研究内容和主要工作.......................................... - 2 -1.5 小结 ........................................................... - 2 -第二章六足仿生机器人控制系统总体设计..................... - 3 -2.1 引言 ........................................................... - 3 -2.2 六足仿生机器人结构 ............................................. - 3 -2.3机器人步态产生.................................................. - 4 -2.4 六足仿生机器人舵机选择 ......................................... - 4 -2.5 AX-12舵机介绍.................................................. - 4 -2.5.1 AX-12 数字舵机概述及特性 ..................................... - 4 -2.5.2 AX-12 舵机通信协议 ........................................... - 5 -2.6 六足仿生机器人控制系统 ......................................... - 6 -2.7 嵌入式控制系统硬件选择 ......................................... - 7 -2.8 嵌入式控制系统软件选择 ......................................... - 8 -2.9 小结 ........................................................... - 8 -第 3 章嵌入式控制系统硬件设计 ........................... - 9 -3.1 引言 ........................................................... - 9 -3.2 六足仿生机器人嵌入式控制系统硬件结构 ........................... - 9 -3.3 FPGA开发板结构和外围接口资源.................................. - 10 -3.3.1 睿智 FPGA 开发板硬件资源 .................................... - 10 -3.3.2 设计用到的板载接口资源电路 .................................. - 11 -3.4 建立 Quartus II 工程 .......................................... - 14 -3.5 SOPC设计...................................................... - 14 -3.5.1 SDRAM 控制器配置 ............................................ - 15 -

3.5.2建立 EPCS模块 ............................................... - 15 -3.5.3构建 CPU 模块................................................ - 15 -3.5.4 232UART、PLL及JTAG UART配置................................ - 16 -3.5.5 Timer、PIO及系统 ID 配置.................................... - 16 -3.5.6 生成SOPC系统 ............................................... - 17 -3.6用verilog例化 NIOS II 工程.................................... - 18 -3.7 分配引脚与编译下载 ............................................ - 21 -3.8 小结 .......................................................... - 22 -第 4 章六足仿生机器人嵌入式控制系统软件设计............. - 23 -

4.1 引言 .......................................................... - 23 -4.2 NIOS II EDS 软件开发平台 ...................................... - 23 -4.3 单舵机控制 .................................................... - 24 -4.4 多舵机协调控制 ................................................ - 26 -4.5 用串口调试助手测试串口 ........................................ - 31 -第 5 章结论与展望...................................... - 33 -

5.1 引言 .......................................................... - 33 -5.2作者的工作..................................................... - 33 -5.2 未来发展 ...................................................... - 33 -致谢 ...................................................................................................................... - 36 -参考文献 ................................................................................................................. - 37 -

第一章绪论

1.1课题来源及背景

课题来源于南京林业大学引进高层次人才和高层次留学回国人员科研基金项目“多功能移动智能机器人系统实现的研究”课题。在众多的生物群体之中,占动物种数80%以上的节肢动物,以其复杂精妙的肢体结构、简易灵巧的运动控制策略和顽强的生命力,占据了陆地上的所有生境,成为重要的仿生资源。依据仿生学理论,借鉴多足昆虫的肢体结构和运动控制策略,开发多足仿生机器人来进行结构、运动、感知及控制研究,成为六足仿生移动机器人技术的重要研究方向。六足仿生机器人的优点使其尤为适合在复杂的自然环境中执行野外侦查、水下搜寻以及太空探测等对自主性、可靠性要求比较高的任务[7]。

现场可编程门阵列(FPGA-Field Programmable Gate Array)是近年来发展迅速的大规模可编程逻辑器件,具有设计周期短、片内资源丰富、可无限次加载和现场可编程等特点[3]。在FPGA 上实现嵌入式控制器是一种介于专用集成电路(ASIC)和通用处理器之间的方案,具有电子产品的高速度、高可靠性、小型化、集成化、低功耗、保密性能好、具有自主知识产权、产品上市快等优势。因此,从仿生学、仿生运动控制系统等方面提出“基于FPGA的六足仿生机器人嵌入式控制系统”研究[4]。

1.2课题的研究目的及意义

目前,机器人控制器主要通过单片机来实现。但是,单片机是基于顺序语言的,其描述过程繁琐,处理速度受单片机时钟频率的限制,难于实现高速实时控制,并且外围电路复杂,不易在线修改。利用现场可编程门阵列(FPGA)器件实现六足仿生机器人控制系统则集成度高、高速、高效率,易于现场重新编程,实现多重配置,对仿生六足机器人的单电机控制、腿控制和步态规划的实现具有重要的意义和实际价值[2]。

1.3国内外六足仿生机器人控制系统研究现状

随着科技的进一步发展,足式机器人的应用越来越广泛,现代足式机器人不但具有良好的运动能力,还具有了高平衡性的越障和爬坡能力,为人类进行星球探索、能量补充、人类救援等高危险任务提供良好的保障。1.国内现状:我国对足

式机器人的控制系统研究相较与国外起步比较晚,主要起步于八十年代,在上海交通大学、北京理工大学、燕山大学等均展开过研究工作,并取得一定的成果。

2.国外现状:国外足式机器人研究起步早,发展也比较迅速,其中波士顿动力学工程公司研制出一种能在战场上帮助士兵驮东西的机器狗一BigDog代表了当今世界足式机器人的最高水平[5]。经过50多年的发展,足式机器人已经发展出了多种类,多样式的机器人,有二足、四足、六足、八足机器人,其中四足和六足机器人最受研究人员的青睐。

1.4课题研究内容和主要工作

以仿生六足甲虫为研究对象,进行六足仿生机器人控制系统的研究。

(1)基于仿生学原理,以六足甲虫的结构和运动特性为对象,进行六足仿生机器人的整体结构设计和步态分析;

(2)根据机器人控制系统的要求,进行硬件控制器选型和软件系统的选型及设计;

(3)系统内核配置及相关外围电路配置和设计;

(4)设计串口通信舵机控制、机器人行等功能,并编写相应软件程序;

(5)实现机器人单腿多关节控制及六足协调控制;

(6)对机器人进行实验验证,并分析总结。

1.5 小结

绪论主要阐述了课题的来源和课题的研究目的及其意义,详细综述了国内外六足仿生机器人控制系统研究现状,给出了课题的研究内容和主要工作。

第二章六足仿生机器人控制系统总体设计

2.1 引言

根据机器人减灾救援的设计思想,为了是机器人有能适应各种复杂的环境的运动系统和完整的控制系统,既要安全又能友好的和人协作。本机器人系统主要包括以下几个部分:

机体结构:实现机器人灵活运动的机构;

运动方式:实现机器人直行和左右转弯;

驱动系统:实现机器人运动控制的核心部分;

控制系统:实时处理感知系统反馈信息的输入,并按照反馈的信息指示驱动系统动作,机体执行相应的动作[17]。

在对机器人进行嵌入式设计之前,要多控制系统进行硬件选型和软件选择。

2.2 六足仿生机器人结构

六足甲虫基本组成分躯体和足两部分,基于仿生学原理六足仿生机器人也由躯体和足两部分组成,采用正相对称分布。以甲虫躯体结构和腿部结构为模型,开发的六足仿生机器人结构图和机器人腿部结构如图2-1 和图2-2 所示。六足机器人躯干与各肢体的连接关节设计为椭圆形,椭圆形肢体分布具有优势,一是减少腿间运动干涉的发生概率,二是能够增加步行的稳定性。

图 2-1整体结构图图 2-2 单腿结构图图 2-3 腿部实体模型Fig.2-1 Overall structure Fig.2-4 Single leg structure Fig.2-5 Solid model of leg

六足仿生机器人结构采用以中轴对称的八边形设计,两边均匀分布 3 条腿,每条腿有三个自由度,一个沿垂直轴水平运动、两个沿水平轴垂直运动。机器人每条腿有三个关节。共有18 个自由度,机器人由18 个伺服舵机组成。每条腿 3

个舵机。机器人腿结构腿部实体模型如图2-3 所示。

2.3机器人步态产生

六足机器人大多运用仿生学方法,采用爬行的行走方式,其步态方式也很多,常见的三种步态分别是二步态、三步态、六步态。课题采用直行时用三步态行走,转弯时用六步态转弯。

图2-4三步态行走图2-5六步态行走

Fig.2—4 Three gait walking style Fig.2—5 Sixgait walking style

六足机器人的三步态行走方式摆腿顺序为153-246-153,如图2-4所示。六步态行走方式摆腿顺序为4-2 -6 -1-5-3-4-2-6,如图2-5所示。

2.4 六足仿生机器人舵机选择

机器人的运动控制常用的电机有直流电机、步进电机和舵机,课题考虑到经济性以及控制要求等,采用Dynamixel 系列AX-12 舵机,它是机器人专用的伺服电机。AX-12 舵机如图2-6 ,2-7所示。

图 2-6 Dynamixel AX-12 舵机

Fig.2-6 Dynamixel AX-12 Actuator

2.5 AX-12舵机介绍

2.5.1 AX-12 数字舵机概述及特性

AX-12 舵机是一款智能化、模块化的动力装置,主要由一个微处理器、一个精确的直流电机、齿轮减速器、位置传感器、温度传感器以及具备通讯功能的控制芯片等组成,其内部机械结构和电路控制如图2-10 所示。舵机能产生的最大扭矩为16.5 kgf·cm,材料坚固,保证承受极大外力必需的强度和韧性。工作时可反馈内部状况,例如内部温度或输入电压。位置和速度可设为1024 等分控制。

支持通讯速度最高达1Mbps。AX-12 数字舵机作为舵机用时,最大转角为300°,作为电机用时可以自由旋转,应用范围广;采用数字信号控制,控制起来更方便;每个舵机都拥有唯一的ID 号,采用网络驱动模式、Daisy 总线连接方式,可以多个网状串连控制,连接方便。它的具体参数如表2-2 所示。由于AX-12 内部配有一个ATmega8 微处理器,用来接收控制器发送的数据包,通过相应的处理后给伺服电机发送PWM 信号来控制电机的起停。因此,控制舵机实际上是去控制ATmega8,舵机的状态和参数都存储在ATmega8 的RAM 和EEPROM 相应的地址里,对舵机进行控制也就是对舵机的相应地址读和写数据的过程。因此,要了解舵机的通信协议[23]。

图 2-7 舵机内部结构和控制图

Fig.2-7 Internal structure and control charts of Actuator

2.5.2 AX-12 舵机通信协议

AX-12 Dynamixel 舵机内置有通信功能的芯片,所以要使舵机运行,主控制器必须具备合适TTL 电平的半双工异步串行通道。通过控制器向舵机发送动作控制指令及查询,等待动作执行结束后,继续发送下一条动作控制指令。因为控制板的UART 接口是全双工标准的接口,而AX-12 Dynamixel 舵机的通信方式为UART 半双工信号,所以主控制器要转换UART 信号为半双工信号,需要搭建一个全双工转半双工的电路,电路图如图2-11所示。把UART 信号转换为半双工信号也可直接使用RS485。设计直接采用RS485 通信。

图 2-8全双工转半双工的电路原理图

Fig.2-8 Circuit Schematic of Full-duplex to Half – duplex

AX-12 Dynamixel 能接收主控制器发送的“指令包”,并根据主控制器的要求反馈回传“状态包”。AX-12 Dynamixel 规定能接收的“指令包”结构如下:0XFF 0XFF ID Length Instrction Parameter1… ParameterN Checksum

0XFF 0XFF 2 个0XFF 表示开始传送指令包。

ID 每个舵机对应唯一ID。可连接254 个ID,范围从0X00 至0XFD。

Length 指令包的长度,该值表示为“参数个数(N)+2”。

Instruction 要求舵机执行的指令。如表2-3 所示。

ParameterN 除指令本身之外,如果还有附加信息需要传送,用该值表示。

Checksum “校验码”的计算方法如下:

CheckSum = ~(ID + Length + Instruction + Parameter1 + …+ Parameter N)如果计算结果大于255,则后面字节的值设为校验码的值。“~”表示非逻辑运算。

AX-12 Dynamixel 规定反馈的“状态包”结构如下:

0XFF 0XFF ID Length Error Parameter1 Parameter2…ParameterN Checksum

Error 为反馈的错误信息。

2.6 六足仿生机器人控制系统

图 2-9 控制系统设计框图

Fig.2-9 Design digram of control system

方案以微控制器为核心,分模块化设计,各子模块功能如下:

(1)微处理器模块:是控制系统的核心,包括微控制器及其相关外围电路,主要进行各种信息、数据的处理,协调系统中各功能模块完成预定的任务;

(2)驱动模块:控制机器人系统中的舵机和传感器模块,实现舵机速度和位置的控制,完成直行、转弯等动作;

(3)电源模块:负责整个六足仿生机器人的电源供给,使系统能离线运动,主要由12V蓄电池及相关调压稳压电路组成;

(4)串口通信模块:根据串口通信标准与上位机进行串口通信;

(5)JTAG 模块:可以实现在线编程、调试仿真。

2.7 嵌入式控制系统硬件选择

机器人设计和研究中,国内外普遍采用微控制器(MCU)、微处理器(MPU)、数字信号处理器(DSP)以及片上系统(SOC)作为机器人的主控制器[55]。微控制器有ARM,DSP,FPGA 等。不同的处理器针对不同的应用场合。ARM(Advanced RISC Machines)是面向低预算市场设计的第一款RISC 微处理器。ARM处理器本身是32 位设计,但也配备16 位指令集。一般来讲比等价32 位代码节省达35%,却能保留32 位系统的所有优势。在控制领域具有较大的优势,尤其在操作系统运行、事务管理以及界面显示与应用程序方面具有较大优势。DSP(Digital Signal Processor)是一种独特的微处理器,是以数字信号来处理大量信息的器件。其工作原理是接收模拟信号,转换为0 或 1 的数字信号。再对数字信号进行修改、删除、强化,并在其他系统芯片中把数字数据解译回模拟数据或实际环境格式。它不仅具有可编程性,而且其实时运行速度可达每秒数以千万条复杂指令程序,远远超过通用微处理器,是数字化电子世界中日益重要的电脑芯片。它的强大数据处理能力和高运行速度,是最值得称道的两大特色。FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD 等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。利用现场可编程门阵列(FPGA)器件实现机器人控制系统,集成度高,高速、高效率,易于现场重新编程,实现多重配置,可以把其它控制模块集成在FPGA 中,体积小、可靠性高,整个控制系统由硬件实现。综合以上各微控制器的特点、应用场合等因素后,主控制器核心选用FPGA 作为主控制芯片。Cyclone II 器件支持Nios II 嵌入式处理器,这样可以帮助用户更好的执行个性化的嵌入式处理方案。Cyclone II 器件还具有可扩展外设、存储器、I/O、或嵌入式处理器的性能。用户可以在Cyclone II 设备中设计单个或多个Nios II 嵌入式处理器,来提供额外的协作处理的能力,甚至替代当前的嵌入式处理器。使用基于Cyclone II 的低成本、高性能Nios II 嵌入式处理方案,可以延长产品寿命周期并加速产品的上市时间。设计选用 Altera公司的 Cyclone II 系列的

EP2C8Q208 作为主控制芯片,芯片价格便宜,性能优越[13]。

2.8 嵌入式控制系统软件选择

Nios II 系列软核处理器是 Altera 的第二代 FPGA 嵌入式处理器,32 位RSIC 嵌入式处理器,其性能超过 200DMIPS,在 Altera FPGA 中实现仅需 35 美分。Nios Ⅱ处理器具有完善的软件开发套件,包括编译器、集成开发环境(IDE)、JTAG 调试器、实时操作系统(RTOS)和 TCP/IP 协议栈。使用 Nios Ⅱ软件开发工具能够为 Nios Ⅱ系统构建软件,即一键式自动生成适用于系统硬件的专用

C/C++运行环境。Nios Ⅱ集成开发环境(IDE)提供了许多软件模板,简化了项目设置。此外,Nios Ⅱ开发套件包括两个第三方实时操作系统(RTOS)—MicroC/OS-Ⅱ(Micrium),Nucleus Plus(ATI/Mentor)以及供网络应用使用的 TCP/IP协议栈。设计者能够用 Altera Quartus Ⅱ开发软件中的 SOPC Builder 系统开发工具很容易地创建专用的处理器系统,并能够根据系统的需求添加 NiosⅡ处理器核的数量。SOPC(System-on-a-Programmable-Chip),即可编程片上系统。用可编程逻辑技术把整个系统放到一块硅片上,称作 SOPC。可编程片上系统(SOPC)是一种特殊的嵌入式系统:首先它是片上系统(SOC),即由单个芯片完成整个系统的主要逻辑功能;其次,它是可编程系统,具有灵活的设计方式,可裁减、可扩充、可升级,并具备软硬件在系统可编程的功能。

2.9 小结

设计了六足机器人的整体及腿部结构,介绍了六足机器人的步态,详细分析的AX-12舵机的工作原理及特性。介绍了六足仿生机器人嵌入式控制系统的硬件选型和软件选型,并对基于 FPGA 的嵌入式控制系统进行了设计。六足仿生机器人嵌入式控制系统采用 FPGA 作为主控制芯片内嵌 NIOS II 软核处理器,使用硬件开发工具 Quartus II 中的 SOPC Builer 构架 SOPC 系统。软件开发工具使用NIOS II EDS。

第 3 章嵌入式控制系统硬件设计

3.1 引言

控制系统的硬件设计包括微控制器的外围电路设计,驱动模块电路设计,电源模块设及,以及微控制器的设计。硬件设计设计到很多元器件,需要参考用到的元器件手册。

3.2 六足仿生机器人嵌入式控制系统硬件结构

确定控制系统硬件选型之后,就要对控制系统硬件结构进行设计,六足仿生机器人嵌入式控制系统结构如图 3-1 所示。

图 3-1 六足仿生机器人控制系统

Fig.3-1 Hexapod bionic robot control system 上图中半双工通信直接用 RS232 转换成 RS485 来实现。根据六足仿生机器

图 3-2 六足仿生机器人 FPGA 设计架构

Fig.3-2 Hexapod bionic robot design architecture of FPGA

人控制系统要求,设计 FPGA 架构如图 3-2 所示。

3.3 FPGA开发板结构和外围接口资源

3.3.1 睿智 FPGA 开发板硬件资源

设计采用睿智FPGA开发板来实现六足机器人的控制系统,开发板FPGA芯片为Altera的CycloneⅡ系列的EP2C8Q。该开发板有丰富的外围接口资源,可实现各种操作,开发板实物图如图3-3所示。

图 3-3 睿智FPGA开发板

Fig.3-3 RuiZhi controller board

睿智FPGA开发板包括核心板和接口板,核心板资源包括:

FPGA 主芯片采用 Altera 公司高性价比 FPGA:CycloneII 系列

EP2C8Q208C8N板载 EPCS4N 或 EPCS16N 串行配置芯片,同时支持 JTAG 和 AS 模式;

采用 64Mbit 的 SDRAM,足够胜任 NIOSII 设计;

板载 50MHz 有源晶振,提供系统工作主时钟;

采用大功率 LDO 电源管理芯片 1085‐3.3V,最大支持 3A 的 3.3V 电压出;

采用 1117‐1.2V 稳压芯片,提供 FPGA 内核电压;

精心的去耦设计,采用大量去耦电容,PLL 电源采用 PI 型滤波;

提供双 5V 直流电源插座,方便核心板单独使用和套装使用;

红色电源指示灯及 IN5819 高速肖特基二极管,防止电源反接;

自锁按键电源开关;

一个系统复位按键 Reset,也可做为用户输入按键;

重配置按键及配置成功指示灯;

所有输入输出口精心设计分配,使用 3 个扩展接口插座,通用 2.54mm 间距;

JTAG下载接口,对应下载的文件是SOF文件,速度快,JTAG将程序直接下载到FPGA 中,但是掉电程序丢失,平时学习推荐使用 JTAG 方式,最后固化程序的时候再通过 AS 方式将程序下载到配置芯片中即可;

AS 下载接口,对应下载的是 POF 文件,速度相对较慢,需要重新上电并且拔掉下载线,才能工作,操作相对麻烦,不推荐学习的时候使用。

接口板资源包括:

DC5V 接口及红色 LED 电源指示灯;

板载 8 个独立按键,可做按键控制,数字逻辑基础实验等;

板载 8 位 LED 发光二极管,做数字逻辑基础流水,显示等实验;

板载 8 位数码管,做动态或静态数码管显示实验,频率计,秒表等;

板载 4 位拨码开关,可做开关控制等实验;

板载 1 位蜂鸣器,可用作发声及音乐实验;

设有 LCD1602 液晶屏接口,做字符显示实验(不包括 LCD);

设有 LCD12864 液晶屏接口,做汉字、字符等显示实验(不包括 LCD);

RS232 串口,可做串口通讯实验;

PS2 接口,可做 PS/2 键盘实验;

具有温度传感器接口(标配不带温传元件),可以做温度计实验;

TLC549 AD 转换器,可以做电压表等实验;

TLC5620 DA 转换器,可做 DA 输出控制实验;

256 色 VGA 接口,可做显示器实验等;

32.768KHz 基准晶振;

TL431,可作为 2.5V 电压基准源,

可调电位器,调节 DA 值;

I2C 串行 EEPROMAT24C08,做 IIC 总线实验;

PCF8563T 实时时钟[14]。

3.3.2 设计用到的板载接口资源电路

(1)存储器 SDRAM 电路

核心板选用的 SDRAM 芯片是 HY57V641620FTP 为 64Mbit 容量,地址为

A0~A11,SDRAM的电源部分使用多个 104 电容进行了滤波处理,保证了芯片工作的电源稳定性。SDRAM 部分原理图如图3-4 所示:

图3-4 SDRAM原理图

Fig.3-4 Schematic of SDRAM

(2) 电源电路

电源是保证整个开发系统正常工作最重要的部分。核心板外部输入 5V 电源,经过1085‐3.3V 稳压后输出 3.3V,3.3V 主要用于给 FPGA 所有 IO 口,核心板存储器电路、串行配置器件、复位电路和 LED 等供电。然后 3.3V 送给 1117‐1.2V 稳压,提供 FPGA 的内部核工

图3-5 电源电路原理图

Fig.3-5 Schematic of power connector

作,以及锁相环部分电源工作。D1 为电源工作指示灯,在核心板的左上角,D0 为 1N5819,高速肖特基二极管,防止电源接反;同时各电源输入及输出部分设计了高质量钽电容用作电源滤波处理,提高系统电源稳定性。电源部分的原理图如图 3-5 所示。

(3)配置电路

这部分包括 JTAG 以及 AS 接口设计及 EPCS4 或 EPCS16 配置芯片,同时加入了下载指示电路,指示为绿色或红色 LED 灯,下载的时候,该指示灯会亮,设计了重配置按键,可以不用断电直接重新配置 FPGA。下载配置及指示电路如图3-6 所示。

图 3-6 下载配置及指示电路

Fig.3-6 Schematic of EPCS4SI8 circuit and download circuit

图 3-7 时钟及复位电路

Fig.3-7 Schematic of clock circuit

(4)时钟和复位电路

开发板采用 50M 有源贴片晶体为系统提供运行时钟,时钟部分电路电源经过π形滤波处理,工作更加稳定可靠。时钟和复位电路电路如图 3-7 所示。

(5)按键电路

接口板上具有 8 个独立按键,电路如图 3-8所示,对于按键电路部分,如果输出低电平,则表示按键按下。电路中电阻 RP3,RP4 均为保护作用,以防止FPGA 芯片IO 设为输出且为高电平时,在按键按下时直接对地短路。

图3-8 按键电路图3-9 RS-232 电路

Fig.3-8 Schematic of key Fig.3-9 Schematic of RS-232

(6)RS232 串口电路

RS232 串口电路如图 3-9所示,由于是 3.3V 系统,所以使用了 max3232 进行 RS232 电平转换,同时,还有两个 LED 用于指示串口的工作状态[18]。

3.4 建立 Quartus II 工程

Quartus II 软件是对FPGA控制系统进行硬件设计的软件,它支持VHDL输入,Verilog输入以及原理图输入。在Quartus II 下新建工程名为 jqr_prj的工程,工程名以及工程文件所在的相关路径要使用英文字母、阿拉伯数字或者下划线进行组合,工程名不能有空格,否则编译的时候可能会出错。工程名建好后进行 FPGA 器件选择,选择 Cyclone II 系列的 EP2C8Q208C8,其他选择默认设置,完成 Quartus II 工程的新建。在工程下新建 verilog 工程文件并命名为jqr_prj.v,保存并设置为工程顶层文件。完成的 QuartusII 工程如图 3-10 所示。

图 3-10 Quartus II 工程图

Fig.3-10 Quartus II engineering

3.5 SOPC设计

打开SOPC Builder,新建一个硬件系统,命名为jqr_nios2_sys。接下来需要给NIOSⅡ添加相应的组件。课题设计 SOPC 系统框图如图 3-11所示。

3.5.1 SDRAM 控制器配置

SDRAM芯片引脚包括芯片的时钟接口(clk)、时钟屏蔽接口(cke)、地址总线(addr)、块选择信号(ba)、片选信号(cs)、行选通信号(ras)、列选通信号(cas)、写选通信号(we)、数据字节屏蔽信号(dqm)、数据总线(dq)。

图 3-11 SOPC 系统框图

Fig.3-11 SOPC system block diagram

在Component Library 中选中 Memories and Memory Controllers 添加SDRAM 控制器组件。并按下下图所示配置SDRAM参数。

3.5.2建立 EPCS模块

双击组件库里EPCS Serial Flash Controller,添加EPCS控制器组件,所有设置均使用默认设置,系统会自动为EPCS分配引脚和地址。

3.5.3构建 CPU 模块

双击组件库里的 NIOS II Processor,对nios处理器进行配置,处理器选择 Nios II/f,功能和速度都可以得到满足。在Cachs and Memory Interfaces 里更改指令缓存(Instruction Cache)为 4Kbyte,其他配置如图3-13所示。配置好后更改模块名为cpu完成处理器配置。

一种智能机器人系统设计和实现.

一种智能机器人系统设计和实现 我们从广泛意义上理解所谓的智能机器人,它给人的最深刻的印象是一个独特的进行自我控制的"活物".其实,这个自控"活物"的主要器官并没有像真正的人那样微妙而复杂。智能机器人具备形形色色的内部信息传感器和外部信息传感器,如视觉、听觉、触觉、嗅觉。除具有感受器外,它还有效应器,作为作用于周围环境的手段。这就是筋肉,或称自整步电动机,它们使手、脚、长鼻子、触角等动起来。我们称这种机器人为自控机器人,以便使它同前面谈到的机器人区分开来。它是控制论产生的结果,控制论主张这样的事实:生命和非生命有目的的行为在很多方面是一致的。正像一个智能机器人制造者所说的,机器人是一种系统的功能描述,这种系统过去只能从生命细胞生长的结果中得到,现在它们已经成了我们自己能够制造的东西了 嵌入式是一种专用的计算机系统,作为装置或设备的一部分。通常,嵌入式系统是一个控制程序存储在ROM中的嵌入式处理器控制板。事实上,所有带有数字接口的设备,如手表、微波炉、录像机、汽车等,都使用嵌入式系统,有些嵌入式系统还包含操作系统,但大多数嵌入式系统都是是由单个程序实现整个控制逻辑。嵌入式技术近年来得到了飞速的发展,但是嵌入式产业涉及的领域非常广泛,彼此之间的特点也相当明显。例如很多行业:手机、PDA、车载导航、工控、军工、多媒体终端、网关、数字电视…… 1 智能机器人系统机械平台的搭建 智能机器人需要有一个无轨道型的移动机构,以适应诸如平地、台阶、墙壁、楼梯、坡道等不同的地理环境。它们的功能可以借助轮子、履带、支脚、吸盘、气垫等移动机构来完成。在运动过程中要对移动机构进行实时控制,这种控制不仅要包括有位置控制,而且还要有力度控制、位置与力度混合控制、伸缩率控制等。智能机器人的思考要素是三个要素中的关键,也是人们要赋予机器人必备的要素。思考要素包括有判断、逻辑分析、理解等方面的智力活动。这些智力活动实质上是一个信息处理过程,而计算机则是完成这个处理过程的主要手段。 机器人前部为一四杆机构,使前轮能够在一定范围内调节其高度,主要功能是在机器人前部遇障碍时,前向连杆机构随车轮上抬,而遇到下凹障碍时前车轮先下降着地,以减小震动,提高整机平稳性。在主体的左右两侧,分别配置了平行四边形侧向被动适应机构,该平行四边形机构与主体之间通过铰链与其相连接,是小车行进的主要动力来源。利用两侧平行四边形可任意角度变形的特点,实现自适应各种障碍路面的效果。改变平行四边形机构的角度,可使左右两侧车轮充分与地面接触,使机器人的6个轮子受力尽量均匀,加强机器人对不同路面的适应能力,更加平稳地越过障碍,并且更好地保证整车的平衡性。主体机构主要起到支撑与连接机器人各个部分的作用,同时,整个机器人

人工智能小型专家系统的设计与实现解读

人工智能技术基础实验报告 指导老师:朱力 任课教师:张勇

实验三小型专家系统设计与实现 一、实验目的 (1)增加学生对人工智能课程的兴趣; (2)使学生进一步理解并掌握人工智能prolog语言; (3)使学生加强对专家系统课程内容的理解和掌握,并培养学生综合运用所学知识开发智能系统的初步能力。 二、实验要求 (1)用产生式规则作为知识表示,用产生系统实现该专家系统。 (2)可使用本实验指导书中给出的示例程序,此时只需理解该程序,并增加自己感兴趣的修改即可;也可以参考该程序,然后用PROLOG语言或其他语言另行编写。 (3)程序运行时,应能在屏幕上显示程序运行结果。 三、实验环境 在Turbo PROLOG或Visual Prolog集成环境下调试运行简单的PROLOG程序。 四、实验内容 建造一个小型专家系统(如分类、诊断、预测等类型),具体应用领域由学生自选,具体系统名称由学生自定。 五、实验步骤 1、专家系统: 1.1建造一个完整的专家系统设计需完成的内容: 1.用户界面:可采用菜单方式或问答方式。

2.知识库(规则库):存放产生式规则,库中的规则可以增删。 3.数据库:用来存放用户回答的问题、已知事实、推理得到的中 间事实。 4.推理机:如何运用知识库中的规则进行问题的推理控制,建议 用正向推理。 5.知识库中的规则可以随意增减。 1.2推理策略 推理策略包括:正向(数据驱动),反向(目标驱动),双向 2、动物分类实验规则集 (1)若某动物有奶,则它是哺乳动物。 (2)若某动物有毛发,则它是哺乳动物。 (3)若某动物有羽毛,则它是鸟。 (4)若某动物会飞且生蛋,则它是鸟。 (5)若某动物是哺乳动物且有爪且有犬齿且目盯前方,则它是食肉动物。(6)若某动物是哺乳动物且吃肉,则它是食肉动物。 (7)若某动物是哺乳动物且有蹄,则它是有蹄动物。 (8)若某动物是有蹄动物且反刍食物,则它是偶蹄动物。 (9)若某动物是食肉动物且黄褐色且有黑色条纹,则它是老虎。 (10)若某动物是食肉动物且黄褐色且有黑色斑点,则它是猎豹。 (11)若某动物是有蹄动物且长腿且长脖子且黄褐色且有暗斑点,则它是长颈鹿。 (12)若某动物是有蹄动物且白色且有黑色条纹,则它是斑马。 (13)若某动物是鸟且不会飞且长腿且长脖子且黑白色,则它是驼鸟。

智能化机器人设计报告

上海应用技术学院Shanghai Institute of Technology 组长:王文博 组员:严格,熊祚强 指导教师:周文 项目工期:2014年6月10日——2015年6月15日

摘要:本项目研发智能家庭监督机器人是基于智能手机平台之下所应用的, 在借助于ug三维建模设计,机械设计以传动设计,及嵌入式硬件的插入,成功地实现了人远距离分身控制并监督家庭情况,能够随时随地掌握家庭环境的变化,为家庭安全的保障提供了基础,并且解决了目前市场家政机器人价格昂贵的现象。 前言: 随着物联网,智能家居以及智能手机的兴起,针对国内的市场环境, 本项目研发出的一系列四款智能家庭服务机器人,本项目研发的机器人管家是一种远程交互型机器人家政机器人采用低功耗WIFI技术连接互联网及手机终端通过强大智能手机及网络云服务器的数据计算处理能力对机器人进行智能化控制,从而降低了机器人的所需硬件成本,使得家政机器人能被国内消费者所接受。此机器人装配了红外,433射频的家电控制系统,实现了远程家电控制功能,并解决了目前智能家居家电设备接口协议不统一,传统家电难以兼容的问题。此外,机器人本身留有各种传感器接口,通过采用本项目研发的红外热式,温湿度,甲醛以及PM2.5传感器机器人能够实现远程家庭环境监控,家居安防的功能。能够解决目前家庭服务类机器人依赖进口,售价高昂的市场现状。 正文:(建模方面)

如上图所示,主观三视图,以及大致轮廓视图,外观视图上采取了全新的外观设计,底部以正六棱柱作为底座,并且采用抽壳技术,扩大内部空间,方便内部嵌入传动系统,机械设计等等,并且为以后的硬件电子设施提供了空间基础,上部采用圆弧拉伸,同样扩大内部空间,便于齿轮,马达等传动设施插入,放手机的补位,采用加盖模式,内部设有弹簧等设施,加紧设备。具体如下: 一:底轮 底轮采用一般的轮胎设计,圆弧效果便于运动,轮胎表面加拉伸效果,增加抓地,增大摩擦,内部增加五角星设计,省材料, 增加美观 二:转向轮: 由于底面为正六棱柱,两个轮子不能稳定行走,并且转向不方便,故在底面加上两个可以自由旋转的转向轮,转向轮 采用平常滑板上的轮子,这样的轮,自由性比较大,可以随 意转向,而传统的车轮,自由性较低,两者互相结合,既可 以自由转向,又可以稳抓底面。建模设计上主要采用了草图 拉伸方式。 三:滚轴:

一种新型智能清洁机器人测控系统的设计与实现

一种新型智能清洁机器人测控系统的设计与实现 0 引言 移动机构是清洁机器人的主体,决定了清洁机器人的运动空间,一般采用轮式结构。传感器系统一般采用超声波传感器、红外光电传感器、接触传感器等构成多传感器系统。随着近年来控制技术、传感技术以及移动机器人技术等技术的迅速发展,智能清洁机器人控制系统的研究和开发已具备了坚实的基础和良好的发展前景。吸尘系统在原理上与传统立式吸尘器相同,主要是在结构设计上更多考虑结构尺寸、集成度以及一些辅助机构的合理布置和利用,以此来提高能源利用率和工作效率。本文主要研究智能清洁机器人测控系统的设计与实现,最终目标是通过软硬件的合理设计,使智能清洁机器人能够自动避开障碍物,实现一般家居环境下的自主清洁工作。 1 测控系统组成及功能 智能清洁机器人测控系统主要包括控制器核心系统、传感器系统和驱动系统等。其原理如图1所示。基于清洁机器人自身体积尽可能小的原则,本设计将控制器核心系统、传感器系统、行走驱动及相关电路集成在一块电路板上。为防止干扰,通过光电隔离器件将各模块在电气上隔离开来。利用超声波传感器、红外反射式传感器和接触传感器组成多传感器系统,检测信号经调理电路处理后送控制器;采用8位单片机SST89E554RC作为控制器,控制器对传感器信号加以判断,根据判断结果,选定相应的控制策略,并控制语音系统发出相应的报警信号;在相应的控制策略下,通过专用驱动器驱动直流电机,带动驱动轮,两轮独立驱动,实现避障功能;同时,控制器控制小型双风机真空吸尘系统对经过的地面进行必要的清扫。

图1 系统原理 该新型智能清洁机器人实验平台如图2所示,该平台为圆形结构,两轮独立驱动,具备完整的吸尘系统和电源系统等功能模块。最终将在该平台上对本文所介绍的测控系统的性能进行实验验证。 图2 智能清洁机器人实验平台 2 测控系统硬件设计 2.1 CPU控制模块 CPU采用美国SST公司制造的8位单片机SST89E554RC。器件使用与8051完全相同的指令集,并与标准的8051器件管脚对管脚兼容。片内拥有1 kB 字节RAM空间,3个16位定时计数器,4个8位I/O端口,拥有可编程计数阵列(PCA),可提供5路256级PWM调速,可通过全双工增强型串口实现人机通讯。 依据SST89E554RC单片机的引脚特性,在实际设计中,各电机驱动信号由单片机P1口输出,左右驱动电机占用P1.1~P1.6共6个端口(其中P1.3和P1.6作为PWM调速信号输出端口使用),吸尘风机和起尘电机分别占用P1.0和P1.7口;红外反射式传感器和接触传感器检测信号分别送给P2口的P2.0~P2.7共8个端口;超声波接收器信号经调理后送人外部中断INT1(P3.3口);2个触摸式选择屏的触摸信号经处理后分别送给P3.4口和P3.5口。 2.2 驱动模块

智能机器人的设计与制作

智能机器人的设计与制作 引言 近几年机器人已成为高技术领域内具有代表性的战略目标。机器人技术的出现和 进展,不但使传统的工业生产面貌发生全然性变化,而且将对人类社会产生深远的阻碍。随着社会生产技术的飞速进展,机器人的应用领域不断扩展。从自动化生产线到海洋资源的探究,乃至太空作业等领域,机器人可谓是无处不在。目前机器差不多走进人们的生活与工作,机器人差不多在专门多的领域代替着人类的劳动,发挥着越来越重要的作用,人们差不多越来越离不开机器人关心。机器人工程是一门复杂的学科,它集工程力学、机械制造、电子技术、技术科学、自动操纵等为一体。目前对机器人的研究差不多呈现出专业化和系统化,一些信息学、电子学方面的先进技术正越来越多地应用于机器人领域。目前机器人行业的进展与30 年前的电脑行业极为相似。今天在汽车装配线上忙碌的一线机器人,正是当年大型计算机的翻版。而机器人行业的利基产品也同样种类繁多,比如协助大夫进行外科手术的机械臂、在伊拉克和阿富汗战场上负责排除路边炸弹的侦察机器人、以及负责清扫地板的家用机器人,还有许多参照人、狗、恐龙的模样制

造机器人玩具。舞蹈机器人具有人类外观特征、可爱的外貌、又兼有技术含量,极受青青年的喜爱。我从前年开始机器人方面的研究,在这过程中尝试过专门多次的失败,也感受到了无比的乐趣。 图1.1、机器人 1 绪论 机器人技术作为20 世纪人类最伟大的发明之一,自20 世纪60 年代初问世以来, 经历40 余年的进展已取得长足的进步。以后的机器人是一种能够代替人类在非结构化环境下从事危险、复杂劳动的自动化机

器,是集机械学、力学、电子学、生物学、操纵论、计算机、人工智能和系统工程等多学科知识于一身的高新技术综合体。走向成熟的工业机器人,各种用途的特种机器人的多用化,昭示着机器人技术灿烂的改日。 1.1 国内外机器人技术进展的现状 为了使机器人能更好的应用于工业,各工业发达国家的大学、研究机构和大工业企业对机器人系统开发投入了大量的人力财力。在美国和加拿大,各要紧大学都设有机器人研究室,麻省理工学院侧重于制造过程机器人系统的研究,卡耐基—梅隆机器人研究所侧重于挖掘机器人系统的研究,而斯坦福大学则着重于系统应用软件的开发。德国正研究开发“MOVE AND PLAY”机器人系统,使机器人操作就像人们操作录像机、开汽车一样。从六十年代开始日本政府实施一系列扶植政策,使日本机器人产业迅速进展起来,通过短短的十几年。到80 年代中期,已一跃而为“机器人王国”。其机器人的产量和安装的台数在国际上跃居首位。按照日本产业机器人工业会常务理事米本完二的讲法:“日本机器人的进展通过了60 年代的摇篮期。70 年代的有用期。到80 年代进人普及提高期。” 并正式把1980 年定为产业机器人的普及元年”。开始在各个领

智能机器人综合设计

项目设计报告撰写要求及说明 一、撰写内容要求 撰写内容必须包括但不限于以下内容: 1.概述 2.需求分析 3.概要设计 4.详细设计 5.系统实现 6.系统测试 7.结论 8.参考文献 二、撰写格式要求 1.目录 目录由设计(论文)的章、节、条、附录等的序号、名称和页码组成。章节既是设计(论文)的提纲,也是其组成部分的标题。目录的序号一律采用阿拉伯数字。 “目录”两字采用一级标题排版;章题目和结尾内容题目采用二级标题排版;节题目采用四号宋体字,1.5倍行距,居左;条题目采用小四号宋体字,1.5倍行距,左缩进2个字符。须注明各题目的起始页码,页码为小四号“Times New Roman”字体,题目与页码用“……”相连。 2.正文部分 正文内容必须实事求是、客观真实、准确完备、合乎逻辑、结论严谨、层次分明、语言流畅,符合学科、专业的有关要求。结论:准确、完整、明确、精练。但仍可以在结论或讨论中提出建议、设想、尚待解决的问题等。 ●装订规格要求 纸张大小:纸的尺寸为标准A4纸。 页边距:上、下、左、右各25mm。 装订:封面、目录和参考文献单面打印,其余部分双面打印,沿长边装订。 正文字体字号:中文小4号宋体,英文小四号“Times New Roman”字型,全文统一。 页码:页码用阿拉伯数字连续编页,字号与正文字体相同,页底居中,数字两侧用一字横线修饰,如-3-。 页眉:自正文页起加页眉,眉体使用单线,页眉字体为5号楷体。 封面:采用统一的标准封面。 ●标题要求 主体部分按章、节、条、项分级,在不同级的章、节、条、项阿拉伯数字编号之间用“

●主体部分 (a)绪论、正文、结论部分除有标题要求外,汉字字体采用小四号宋体,1.5倍行距。外文、数字字号与同行汉字字号相同,字体用“Times New Roman”字体。 (b)插图:插图包括图解、示意图、构造图、框图、流程图、布置图、地图、照片、图版等。插图注明项有图号、图题、图例。图号编码用章序号。如“图3.1”表示第3章第1图。图号与图题文字间置一字空格,置于图的正下方,图题用5号宋体,须全文统一。图中标注符号文字字号不大于图题的字号。 (c)表:表的一般格式是数据依序竖排,内容和项目由左至右横读,通版排版。表号也用章序号编码,如:表3.1是第3章中的第1表。表应有表题,与表号之间置一字空格,置于表的上方居中,用5号宋体,须全文统一。表中的内容和项目字号不大于表题的字号。 (d)公式:公式包括数学、物理和化学公式。正文中引用的公式、算式或方程式等可以按章序号用阿拉伯数字编号,如式(3-1)表示第3章第1式,公式一般单行居中排版与上下文分开,式号与公式同行居公式右侧排版。 ●参考文献 参考文献格式规范如下: 书籍:[1] 作者1,作者2.书名[M],出版社,出版年 网址:[2] 作者.名称[EB/OL],网址,年.月 期刊:[3] 作者1,作者2.论文名称[J],期刊名称,年代,卷(期): 起止页码 例如: [1] 作者1,作者2.Eclipse从入门到精通[M],清华大学出版社,2005 [2] 作者.软件设计模式[EB/OL],https://www.360docs.net/doc/eb3445136.html,/10289.htm,2004.3 [3] 作者1,作者2.论文名称[J],清华大学学报,2005,4(1):26-35

湖面清扫智能机器人的控制系统设计说明书

湖面清扫智能机器人的控制系统设计 1、引言 机器人是上个世纪中叶迅速发展起来的高新技术密集的机电一体化产品,它作为人类的新型生产工具,在减轻劳动强度、提高生产率、改变生产模式,把人从危险、恶劣的环境下解放出来等方面,显示出极大的优越性。在发达国家,工业机器人已经得到广泛应用。随着科学技术的发展,机器人的应用范围也日益扩大,遍及工业、国防、宇宙空间、海洋开发、紧急救援、危险及恶劣环境作业、医疗康复等领域。进入21世纪,人们已经越来越切身地感受到机器人深入生产、深入生活、深入社会的坚实步伐。机器人按其智能程度可分为一般机器人和智能机器人。一般机器人是指不具有智能,只具有一般编程能力和操作功能的机器人;智能机器人是具有感知、思维和动作的机器人。所谓感知即指发现、认识和描述外部环境和自身状态的能力,如装配机器人需要在非结构化的环境中认识障碍物并实现避障移动,这依赖于智能机器人的感觉系统,即各种各样的传感器;所谓思维是指机器人自身具有解决问题的能力,比如,装配机器人可以根据设计要求为一部复杂机器找到零件的装配办法及顺序,指挥执行机构,即指挥动作部分完成这部机器的装配;动作是指机器人具有可以完成作业的机构和驱动装置。由此可见,智能机器人是一个复杂的软件、硬件综合体。 机器人的核心是控制系统。机器人的先进性和功能的强弱通常都直接与其控制系统的性能有关。机器人控制是一项跨多学科的综合性技术,涉及自动控制、计算机、传感器、人工智能、电子技术和机械工程等多种学科的内容。 近年来,随着工业和其它服务行业的蓬勃发展,人们在重视其经济效益的同时却往往忽略了他们对环境的污染,人类赖以生存的水资源也不例外。水面污染对人类的水源构成很大的威胁,湖泊尤其是旅游胜地和市内人工湖泊,更是无法逃避漂浮物污染的厄运,举目可见各种日常消费品的包装物在湖面上漂浮。污染的加剧根治水污染。但是,水面污染的治理是一项艰难的长期任务,是全人类必须面对的共同问题。用人工清理水面漂浮物只是权益之计,有些危险水域人无法工作。很多发达国家致力于水面污染治理设备的研究,如石油清理设备,但只是用于大量泄露石油的清理。目前,我国研制的清理水面漂浮物的设备还未见报道,国外研制的也不多,并且价格昂贵,实现的功能也不尽人意。因此,开发一种性

智能机器人设计制作

智能机器人设计制作 课程设计报告 一、设计目的 用MT-U机器人小车平台,加装相应传感器并编程,实现智能控制至少包含以下功能: 2.1 具有制定速度的前进、后退、停止。 2.2 具有避障能力 2.3 具有转向功能 2.4 具有定距离行走控制 二、设计任务 通过机器人的I/O口控制机器人在规定速度下完成前进、后退、壁障、转向、定距离行走的功能。 三、MT-UROBOT的内部结构 3.1 MT-UROBOT结构简图:

3.2MT-UROBOT主要控制按钮和相关系统接口如下图所示。 控制按键部分 左图相关控制接口和控制开关 电源开关按钮 控制MT-UROBOT电源开关的按钮,按此按钮可以打开或关闭机器人电源。 “充电口” 将充电器的相应端插入此口,再将另一端插到电源上即可对机器人充电 下载口 用于下载程序到机器人主板上,使用时只需将串口连接线的相应端插入下载口,另一端与计算机连接好,这样机器人与计算机就连接起来了。 备用电源 此电源接口可以接外接电源,主要作用是为电机提供电源。在系统运行过程中,电机做功功率消耗掉大部分的电池能量,为了提高系统的连续运行时间,可以为电机提供外部动力,当备用电源接口上接有外部电源时,将切换开关拨至左边,

电机就可以从外接电源那里取电。 切换开关 电机使用内部电源或者外接电源的选择开关。 右图相关控制接口和控制开关 指示灯 绿色灯为电源指示灯,按下MT-UROBOT的开关后,这个灯会发绿光。红色灯为电源欠压指示灯,当机器人电源电压不足时,欠压报警的红灯亮,这时就该给机器人充电了。 “通信”指示灯 “通信”指示灯位于机器人主板的前方,与电源绿色指示灯为同一个灯,在给MT-UROBOT下载程序时,这个绿灯会闪烁,这样就表明下载正常,程序正在进入机器人的“大脑”即CPU。 “充电”指示灯 充电指示灯不在控制盒上,在充电器上。当你给机器人充电时,充电器上的指示灯发红光,充电完成后充电器上指示灯发绿光。 UP、DOWN、OK按钮 UP和DOWN用来选择机器人开机后将要执行的动作,可以在液晶显示屏上观察,OK相当于PC机的ENTER键,用来进入某一功能。 RESET按钮 用来复位机器人系统,让机器人重新运行或者下载新的程序。 DOWN按钮 当使用UP、DOWN、OK按钮选择了下载功能后,若机器人与PC机连接状态良好并且编译没有错误时,可以通过DOWN按钮令机器人进入下载等待状态。 RUN按钮 当程序下载完成,并且用UP、DOWN、OK按钮选择了运行后,RUN按钮开始机器人的运行。 四、MT-UROBOT的连接和检测 很多情况下MT-UROBOT是要和计算机连接以后使用的。连接MT-UROBOT是一项基本操作,下面是连接的标准步骤: 1.取出串口连接线。一头接MT-UROBOT的“下载口”插口,另一头接PC机箱后的9针串口。如果你的电脑后面没有空余9针串口,请咨询电脑维护人员。(可以把暂时不用的设备移开,腾出一个串口。)或者通过USB转串口。 2.打开MT-UROBOT,按击控制按键中的“开关”键,见到“电源”指示灯发光即可。 3.开机后液晶显示屏LCD显示正常。有两个选择:“运行”和“下载”用户可以

智能机器人设计毕业论文设计

目录 第1章. 绪论 (3) 1.1智能机器人技术发展的重要意义 (3) 1.2国外机器人的发展史 (3) 1.2.1 国外机器人的发展历史 (3) 1.2.2 国机器人的发展历史 (4) 1.3服务机器人的特点关键技术 (4) 1.4本论文的主要研究容 (5) 1.5本章小结 (5) 第2章.物体检测与报警机器人的总体设计 (6) 2.1概述 (6) 2.2主要组成 (6) 2.2.1 头部旋转机构 (6) 2.2.2 主体部 (7) 2.2.3 电机 (7) 2.3主要技术参数 (8) 2.4.电机的选型 (8) 2.4.1 驱动机构的组成 (8) 2.4.2 步进电机的选型比较 (9)

2.4.3 步进电机的选型计算 (10) 2.5蜗轮蜗杆传动的选型设计 (12) 2.6电机的效核 (15) 2.7轴的较核及联件的选型 (16) 2.7.1. 蜗杆轴的较核 (16) 2.7.2. 蜗杆轴上轴承的选型 (20) 2.7.3. 蜗轮轴的较核 (22) 2.7.4. 蜗轮轴上轴承的选型 (26) 2.7.5. 键的较核 (28) 2.7.6. 联轴器的选型 (28) 2.8本章小结 (28) 第3章. 驱动机构及其控制方式 (29) 3.1.概述 (29) 3.2步进电机及其控制系统 (29) 3.2.1 步进电机的工作特性 (29) 3.2.2 步进电机的开环控制系统 (31) 3.3本章小结 (32) 结束语 (32) 致谢 (33)

参考文献 (34)

第1章. 绪论 [本章提要].本章从阐述智能机器人技术发展的意义出发,简要地回顾智能机器人的发展历史和当前的技术水平。在此基础上,对于智能检测报警机器人这一产品的关键技术,以及开发现状,也作了进一步介绍。 1.1 智能机器人技术发展的重要意义 智能机器人是具有感知,思维和行动能力的机器,是机构学、自动控制、计算机、人工自能、光电技术、传感技术、通讯技术、仿真技术等多种学科和技术的综合成果,它从一个侧面反映了一个国家科技发展的水平。更重要的是,作为新一代生产和服务的工具,它在国民生产和生活的各个领域都占有更广泛,更重要的位置,这对于人类开辟新的产业,提高生产和生活水平具有十分现实的意义。因此智能机器人技术作为科技技术的一个分支,受到了世界各国的普遍重视。 发展智能机器人技术将对一个国家产生巨大的影响,主要集中在以下几个方面: 1. 发展工业智能机器人可以增强一个国家的生产制造能力 2. 发展特种智能机器人可增强国家的可持续发展能力 3. 发展智能机器人技术可以提高国防实力

智能机器人设计报告

智能机器人设计报告 参赛者:庆东肖荣于腾飞 班级:级应用电子技术 指导老师:远明 日期:年月日 一、元器件清单: ,,,,,,,蜂鸣器,光敏电阻,光敏三极管,电阻、电容若干,超亮及普通发光管。二、主要功能: 本设计按要求制作了一个简易智能电动车,它能实现的功能是:从起跑线出发,沿引导线到达点。在此期间检测到铺设在白纸下的薄铁片,并实时存储、显示在“直道区”检测到的薄铁片数目。电动车到达点以后进入“弯道区”,沿圆弧引导线到达点继续行驶,在光源的引导下,利用轻触开关传来的电信号通过障碍区进入停车区并到达车库,完成上述任务后能够立即停车,全程行驶时间越少越好。 本寻迹小车是以有机玻璃为车架,单片机为控制核心,加以减速电机、光电传感器、光敏三极管、轻触开关和电源电路以及其他电路构成。系统由通过口控制小车的前进后退以及转向。寻迹由超亮发光二极管及光敏电阻完成,避障由轻触开关完成,寻光由光敏三极管完成。 并附加其他功能: .声控启动 .数码显示 .声光报警 三、主体设计 车体设计 左右两轮分别驱动,后万向轮转向的方案。为了防止小车重心的偏移,后万向轮起支撑作用。对于车架材料的选择,我们经过比较选择了有机玻璃。用有机玻璃做的车架比塑料车架更加牢固,比铁制小车更轻便,美观。而且裁减比较方便! 电机的固定采用的是铝薄片加螺丝固定,非常牢固,且比较美观。 轮子方案

在选定电机后,我们做了一个万向轮,万向轮的高度减去电机的半径就是驱动轮的半径。轮子用有机玻璃裁出来打磨光华的,上面在套上自行车里胎,以防止打滑。 万向轮 当小车前进时,左右两驱动轮与后万向轮形成了三点结构,这种结构使得小车在前进时比较平稳。电源电路: 采用伏锂离子电池为电机供电,将电压降压、稳压后给单片机系统和其他芯片供电。电池具有较强的电流驱动能力以及稳定的电压输出性能。由于电池的体积较小,在小型电动车上使用极为方便,因此不需要很大的空间,并且电池的价格比较低。 四、设计思路: 该系统实现了电动车的自动行驶、避障、探测金属、计数、光电引导功能、数码显示、电机控制等功能。单片机检测出来传感器输出信号从而输出控制信号,控制电机工作,在直道区,考虑引导线是白颜色,容易反光,决定利用这一特性选用光敏电阻及超亮度二极管,当二极管发出的光照射到白色引导线上时反射的光被光敏电阻接受,阻值发生变化输出电平发生变化。这个过程是一个负跳变的过程通过对此信号高低电平的检测就可以使电动车沿着直道区的引导线行进。 当地下有金属时,金属探测器发出一个低电平,用单片机进行检测。并在数码管显示。 在车头安装有轻触开关(可以接触到障碍物)对障碍物进行检测。 光电传感器接收部分用于采集光信号,通过比较输出信号使车终朝朝输出信号最强的方向行驶。 以上就是完成这个题目的大体思路和方法。 五、设计方法 P3.2 P2.1P2.4P2.5P3.6 P3.7 智能化大大增强,可以用下图形象的表示出来: 管脚分布图: 口 两位数码管 驱动减速电机 位选 接 寻迹传感器 声控 接 避障电路 接 寻光传感器 金属传感器 放音电路

智能机器人设计

LED点阵电子显示屏制作 设计报告

摘要 本题的设计是基于Altera公司Cyclone II系列EP2C5T144 FPGA芯片VHDL设计的LED点阵显示屏基本原理与实现方案。由于采用了先进的EDA技术,使得系统在具有极高的集成度和稳定性的同时,还体现出比传统设计方法更大的灵活性,和更高的设计效力。该系统由24*24 LED点阵屏、点阵驱动电路、扫描电路、键盘等组成。在程序的控制下,LED点阵模块显示屏工作在人眼不易觉察的扫描微亮和人眼可见的显示点亮模式下工作。系统基本上能通过按键转换显示字符、汉字、特定的句子或短语,显示内容可以实现向上滚屏,亮度可调等功能。关键词:FPGA EP2C5T144 VHDL 24*24点阵扫描电路 Abstract The theme design is based on Altera Cyclone II family of companies EP2C5T144 FPGA chip, LED dot matrix display VHDL design and realization of basic principle.As a result of advanced EDA technology, making the system has a very high integration and stability, it is also reflected more than the traditional design flexibility, and higher design effect. The system consists of 24 * 24 LED dot matrix display, dot matrix drive circuit, scan circuit, keyboard. Under the control of the process, LED dot matrix display modules work in the human eye imperceptible micro-light and scanning the human eye can see the display light mode. In essence, through the key change display character, characters, specific sentence or phrase, can achieve up scrolling display, brightness adjustable functions. Keywords: FPGA EP2C5T144 VHDL 24 * 24 dot matrix scan circuit

智能农业机器人系统设计方案

智能农业机器人系统设计方案 我国是一个传统的农业大国,千百年的手工农作已经让农民疲惫不堪,伴随着工业革命和智能革命的兴起,进入21世纪以来,我国农业机械化、自动化的程度得到大幅度提升,农业机器人得到了发展空间,农业机器人相继研发出来,并更加智能化,文章设计了一种以ARM9为主控芯片处理器的智能农业机器人自动灌溉系统,有效提高了农业的工作效率。 标签:农业机器人;自动喷灌;ARM9;智能;控制 进入21世纪以来,电子技术和自动控制技术发展突飞猛进,在农业方面有着突出的影响,农业机器人的出现解决了一些复杂而繁琐的农事,现在农业机器人渐渐的进入农业生产方面,我国农业生产规模进一步扩大,并向着智能化、机械化的方向发展。农业机器人的研究也在不断的进步,并涉及多方面领域,如果蔬采摘、农药施肥、自动喷灌等。农业机器人的运用改善了我国农业生产状况,降低了人工劳动强度,也提高了农业效率。 1 自动喷灌机器人设计 本文设计了一个以ARM9为处理器的自动灌溉机器人,用三轮差分转动的方式来控制机器人移动,利用线性频率输出型号的HF3223温湿度传感器来检测实时湿度状况并采集数据,并将采集数据传回机器人的“大脑”,从而确定大棚田间农作物是否需要喷灌作业。若大棚中的温湿度低于设计初值,则控制系统将启动控制水泵实现自动喷灌。 2 整体系统设计 农业机器人整体设计包含硬件部分和软件部分。硬件部分按照不同的模块分为如图1所示几个部分,自动喷灌机器人利用HF3223溫湿度传感器来检测温度和湿度,并通过传感器进行数据传输,ARM处理系统对采集数据进行处理、分析,并采取相应的措施。图1为总体设计原理框图。 3 硬件系统设计 3.1 控制系统模块 自动喷灌机器人控制系统模块相当于人的“大脑”,温湿度传感器检测室内大棚田间的温湿度数据都要经传感器传送到控制模块中,地面灰度传感器记录机器人在室内大棚的位置,然后再传送给控制模块,实现对自动喷灌机器人的运动控制。控制模块是以ARM9为核心的S3C2440A芯片开发板,其特点是低功耗,高性能的微控制器。主频设置为500MHz,开发板使用两片外接的16MbytesSDRAM芯片,接在一起形成16bit的总线。通过与各种传感器模块进行数据传输,保证在农田中正常作业。其控制方式如图2所示。

商场智能服务机器人的设计

电子技术 ? Electronic Technology 82 ?电子技术与软件工程 Electronic Technology & Software Engineering 【关键词】服务机器人 定位导航 STM32处理器 传感器 1 引言 服务机器人作为一种半自主或全自主工作的机器人,能够完成有益于人类的服务工作,如搬运、清洁、救援等。随着智能服务机器人逐渐走进人们的社会生活领域,它将对提高人类生活质量和服务行业带来深刻的影响。 本文在对国内外服务机器人发展状况和技术研究的基础上,选择应用于商场内的智能导航服务机器人为研究对象,设计了一种集感知、导航、人机交互、饮料瓶回收等功能于一体的商场智能服务机器人。 2 系统总体设计 智能服务机器人的总体设计包含机械系统与控制系统设计两大部分:机械系统的设计主要完成机器人的移动底盘、机械手等结构;控制系统则实现对机器人的功能控制,如完成行走、避障、导航、交互等功能,控制系统又分为硬件系统和软件系统两部分。系统总体设计框图如图1所示。 3 机械结构设计 根据机器人的运动功能要求和工作环境,设计机器人的机械结构包括以下功能: (1)机器人底盘能实现前进、后退和灵活转向的运动功能,具备自定位和自主移动能力;移动速度能在0.1-0.5m/s 内; (2)机器人的手臂可以完成招手,引导和饮料瓶回收等基本动作; (3)机器人头部能实现左右摆头(转动) 商场智能服务机器人的设计 文/李猛 郑召斌 田立国 王岳松 和上下点头的工作; (4)机器人外形完美,亲和力强,身高1.2M 左右,体重在30-40公斤内,且能保证人机交互过程的安全性。 4 控制系统设计 机器人整个控制系统由STM32控制器和PC 工控机两部分组成,两个系统之间通过HTTP-POST 进行通讯:其中下位机部分以STM32控制器为中心,搭载自主导航定位模块和各类传感器,接收上位机发出的各类指令控制机器人的行走、避障等;上位机部分则以工控机为中心,运行机器人应用程序,对下位机发出控制指令,实现定位导航、人机交互灯功能,系统原理图如图2所示。4.1 硬件设计 4.1.1 处理器控制模块 处理器模块是整个控制系统的核心模块,其性能好坏直接决定整个系统的运行效果。本文中的下位机控制器选择高性能的STM32微处理器,其具有丰富的I/O 口外设,多个通信接口,支持多种中断,满足了该机器人系统的需要。 4.1.2 电机驱动模块 电机驱动模块采用L298P 双路2A 直流电机驱动,用于驱动商场智能服务机器人的2个直流电机,实现机器人的移动控制。4.1.3 定位导航模块 定位导航模块采用思岚模块化自主定位导航解决方案,由高性能激光雷达RPLIDAR A2和定位导航控制核心SLANWARE Core 组成,可使机器人实现自主定位、自动建图、路径规划和自动避障,实现了机器人在商场内的自主行走。4.2 软件设计 商场智能服务机器人系统的软件平台设计包括下位机控制程序和上位机应用程序两大部分: 下位机控制程序主要实现机器人的行走、避障、传感器数据采集以及与上位机的通信功能,属于商场智能服务机器人的底层控制。 上位机应用程序则通过对激光数据的处理建立商场环境的地图,实现智能服务机器人的定位导航功能;同时采用语音识别、图像处理技术更好的实现了用户与机器人之间的交互。 上位机应用程序采用面向对象的C++编程语言,基于Visual Studio 2010开发环境进行开发,控制系统软件则采用嵌入式uc/OS-II 操作系统来实现,两者之间采用基于TCP/IP 协议进行通信。 5 结论 随着科技与服务机器人技术的不断发展,服务机器人也越来越受到人们的关注,生活中的多个领域已广泛应用到各种服务机器人。本文研究的商场智能服务机器人,定位于商场内的定位导航、语音交互等功能,具有广阔的应用开发与市场前景。 参考文献 [1]王田苗,陶永,陈阳.服务机器人技 术研究现状与发展趋势[J].中国科学,2012,42(09):1049-1066. [2]邹风山,赵彬.服务机器人导航与 调度系统技术研究[J].微型机与应用,2017,36(07):56-58. [3]沈友建,黄孝鹏,肖建.基于STM32的机 器人自主移动控制系统设计[J].微型机与应用,2016,35(18):12-14. [4]林枫亭,罗艺,孔凡立等. 一种基于云平 台的智能机器人语音交互系统设计[J].电子测试,2018,3:40-42. 作者简介 李猛(1986-),男,山东省滨州市人。硕士研究生。实验师。研究方向为嵌入式系统及应用。 作者单位 天津职业技术师范大学天津市信息传感与智能控制重点实验室 天津市 300222 ●项目支持:天津职业技术师范大学2018年校级科研项目(项目编号:KJ1802)。 图2:系统原理图 图1:系统框图

一种新型智能清洁机器人测控系统的设计与实现_百度文库

0引言 移动机构是清洁机器人的主体,决定了清洁机器人的运动空间,一般采用轮式结构。传感器系统一般采用超声波传感器、红外光电传感器、接触传感器等构成多传感器系统。随着近年来控制技术、传感技术以及移动机器人技术等技术的迅速发展,智能清洁机器人控制系统的研究和开发已具备了坚实的基础和良好的发展前景。吸尘系统在原理上与传统立式吸尘器相同,主要是在结构设计上更多考虑结构尺寸、集成度以及一些辅助机构的合理布置和利用,以此来提高能源利用率和工作效率。本文主要研究智能清洁机器人测控系统的设计与实现,最终目标是通过软硬件的合理设计,使智能清洁机器人能够自动避开障碍物,实现一般家居环境下的自主清洁工作。 1测控系统组成及功能 智能清洁机器人测控系统主要包括控制器核心系统、传感器系统和驱动系统等。 其原理如图1所示。基于清洁机器人自身体积尽可能小的原则,本设计将控制器核心系统、传感器系统、行走驱动及相关电路集成在一块电路板上。为防止干扰,通过光电隔离器件将各模块在电气上隔离开来。利用超声波传感器、红外反射式传感器和接触传感器组成多传感器系统,检测信号经调理电路处理后送控制器;采用8位单片机SST89E554R作为控制器,控制器对传感器信号加以判断,根据判断结果,选定相应的控制策略,并控制语音系统发出相应的报警信号;在相应的控制策略下,通过专用驱动器驱动直流电机,带动驱动轮,两轮独立驱动,实现避障功能;同时,控制器控制小型双风机真空吸尘系统对经过的地面进行必要的清扫。 圧样■更丽1障奎nbtll二^酉! 图i系统原理 该新型智能清洁机器人实验平台如图2所示,该平台为圆形结构,两轮独立驱动,具备完整的吸尘系统和电源系统等功能模块。最终将在该平台上对本文所介绍的测控系统的性能进行实验验证。

扫地机的智能控制系统设计说明书

本科毕业设计说明书 扫地机的智能控制系统设计 SWEEPER INTELLIGENT CONTROLSYSTEM DESIGN 学院(部):电气与信息工程学院 专业班级:电气##### 学生姓名: ###### 指导教师: ########## 年月日

扫地机的智能控制系统设计 摘要 目前,各式各样的服务机器人越来越多应用于人们的生活中,从事着与人们生活息息相关的服务工作,极大地提高和改善了人们的生活质量。室内智能扫地机器人就是在这种背景下诞生的一种家庭服务机器人。室内智能扫地机器人的路径规划采用区域充满的规划方法,目标是在设定区域内寻找一条从始点到终点且经过所有可达点的连续路径。根据建立的扫地机器人平台,提出清扫机器人随机运动路径规划算法。机器人利用其上安装的红外传感器和摄像头来识别和感知房间环境,机器人开始以螺旋运动方式覆盖房间的空白区域,当遇到障碍物时,启动障碍物应对策略,通过计算机软件仿真和在房间环境中进行实验验证了该算法的有效性。矚慫润厲钐瘗睞枥庑赖。 关键词:扫地机器人,单片机,环境识别,路径规划

SWEEPER INTELLIGENT CONTROLSYSTEM DESIGN ABSTRACT At present time,more and more various of service robots are designed and applied to people’s daily lif e.The application of these robots is promoting the quality of people’s life tremendously as they deal with the works related to people’s life closely.Indoor automatic cleaning robot is one of these service robots developed to help people to carry out the troublesome room cleaning work.The path planning algorithm of Indoor Automatic Cleaning Robot should spread over the room area using the area filling path planning algorithm to find a continuous path from start to end. A random moving path planning algorithm is put forward based on the platform. The cleaning robot identifies the room environment using the infrared transducer and the camera outfitted on its body.Moving in the spiral motion mode with the gradually enlarging radius,the robot begins to explore and clean the blank area.While it encounters obstacles like wall or furniture,it will start the strategy of dealing with obstacles.This algorithm is validated through computer simulation and robot experiment.聞創沟燴 鐺險爱氇谴净。 KEYWORDS:cleaning robot,single chip microcomputer,environment identification,path planning残骛楼諍锩瀨濟溆塹籟。

相关文档
最新文档