五人多数表决器

五人多数表决器
五人多数表决器

广西大学行健文理学院 FPGA课程设计

题目:五人多数表决器

学部:电气信息学部

专业:电子科学与技术

班级:2012级1班

学号:1238340126

学生姓名:郑大发

指导老师:周柳娜

二〇一五年一月

摘要

在电子设计与制造技术的发展中,核心就是电子设计自动化(EDA,Electronic Design Automation)技术。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。本次设计实验就是基于EDA技术和EP1C6Q240C8芯片(FPGA),及其外围电路,实现了五人多数表决器的设计。设计模块主要包括:控制单元、计数单元、显示单元。从而实现了用人数多于或等于3来判决是否通过,从而达到设计要求。

关键词:EDA、VHDL、显示单元、控制单元

目录

设计要求 (1)

前言 (1)

1方案论证 (1)

1.1方案一 (1)

1.2方案二 (2)

1.3方案对比与选择 (3)

2基本功能模块设计与说明 (3)

2.1十秒倒计时模块说明 (3)

2.2数码管机二极管显示模块说明 (3)

2.3电路原理图 (4)

3 软件设计 (4)

4 管脚说明 (5)

5仿真现象 (6)

6实验结论 (9)

7实验总结 (10)

致谢 (11)

参考文献 (12)

附录 (13)

五人多数表决器

设计要求

1五人多数表决逻辑:多数通过;

2 在主持人控制下,10秒内表决有效;

3采用数码管显示表决10秒倒计时;

4表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过;

5 设主持人控制键,复位键:

控制键:启动表决;

复位键:系统复位。

前言

本课程设计是一个基于EDA技术的五人多数表决器,通过时间的限制,主持人的控制,共同决定通过与不通过。当投票的人数大于等于三个时,表明通过,否则不通过。该设计可以应用到简单的表决竞赛中。下过很好。当时间超过规定,以后的投票都视为无效。从而实现表决功能。

1方案论证

1.1方案一

五人多数表决,只要在规定时间内,赞成人数大于或等于三,则表决通过。因此,只需将每位表决人的结果相加,判断结果值。设五个开关作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的五个输入变量中有3个以上(含3个)为“1”时。则表决器输出为“1”;否则为“0”。

图1 五人多数表决器系统框图

1.2方案二

1要实现供5人的表决器,由于是用于单片机表决器,而单片机的硬件电路与软件设计相结合的一种电路设计,因此在引硬件电路只能实按键的按下与释放,不能智能的实现检测按键被按下几次,因此该部分克用软件的汇编来实现其功能。

2主持人通过按键来控制表决的开始与复位,可有两个外部中断来实现,按下外部中断0 的按键式表示表决开始,黄灯亮,按下外部中断1是表示表决结束;当表决结束后统计“同意”和“反对”的票数,“同意”的票数大于“反对”的票数时,绿灯被点亮。在扩展时加上了同意数和剩余时间数的显示,可以通过两个数码管。

图2五人多数表决器系统框图

1.3方案对比与选择

方案一通过FPGA芯片实现功能。方案二则改用STC89C52实现表决。二者都能很好地实现。由于实用单片机,程序较复杂,需考虑多方面的东西,并且需要自己另外制作电路板,必然增加成本。故综合考虑。本设计选用方案一。

2基本功能模块设计与说明

2.1十秒倒计时模块说明

在脉冲作用下,使用减法计数器,在初值为10秒的时候,开始计时。每来一个脉冲计数器就减少1.一直这样下去,直到计数器变为0。在主持人按下复位键时,计数回到10,重新进行减法计数器。直到为0。

2.2数码管机二极管显示模块说明

FPGA/CPLD端口PI/O31~28(即PI/O31、PI/O30、PI/O29、PI/O28)、PI/O27~24、PI/O23~20和PI/O19~16,共4组4位二进制I/O端口分别通过一个全译码型7段译码器输向系统板的7段数码管。这样,如果有数据从上述任一组四位输出,就能在数码管上显示出相应的数值,其数值对应范围为:

表1数码管变化范围

端口I/O32~39分别与8个发光二极管D8~D1相连,可作输出显示,高电平亮。还可分别通过键8和键7,发出高低电平输出信号进入端口I/049和48;键控输出的高低电平由键前方的发光二极管D16和D15显示,高电平输出为亮。此外,可通过按动键4至键1,分别向FPGA/CPLD的PIO0~PIO15输入4位16进制码。每按一次键将递增1,其序列为1,2,…9,A,…F。

2.3电路原理图

此电路图可实现表决器的控制与显示。键8到键2分别为五位投票者和复位,开

始键。通过数码管显示投票人数,和剩余时间。二极管D8显示通过与不通过。

CLOCK9CLOCK5CLOCK2CLOCK0PIO8

D11D12PIO9

D13

PIO10D14

PIO11D15

PIO12PIO13D16

D6

D5

D4

D3

D2

D1

D7

D8

)(1

2

3

4

5

6

7

8

实验电路结构图

NO.6

目标芯片

FPGA/CPLD 扬声器

S P E A K E R

PIO3-PIO0

PIO7-PIO4HEX HEX

PIO16

PIO13-PIO8PIO23

PIO22PIO21PIO20PIO19PIO18PIO17直接与7段显示器相接PIO46-PIO40PIO38-PIO32

PIO30-PIO24PIO22-PIO16PIO46-PIO40 接 g, f, e, d, c, b, a

PIO38-PIO32 接 g, f, e, d, c, b, a

PIO30-PIO24 接 g, f, e, d, c, b, a 七段

PIO22-PIO16 接 g,f,e,d,c,b,a

键1

键2

键3键4键5键6键7键8

图3 电路原理图

3 软件设计

当主持人按下开始键,投票开始表决,统计同意的人数,当人数大于或等于三个时。D1点亮并且数码管显示时间变为0,当人数小于三个时,D1熄灭数码管显示时间为0.最后统计投票人数通过同意人数决定表决结果。

图4 程序流程图

4 管脚说明

AA:一维数组AA用来表示五位表决者;

PASS:表决最终是否通过(‘1’为“通过”,…0?为“未通过”);

TOTAL:表决通过的人数;

SHIJIAN:用来显示倒计时;

FUWEI:主持人复位键,用来系统复位;

KAISHI:主持人控制键,用来启动表决;

CLK:系统时钟。

表2引脚锁定表

5 仿真现象

当处于复位状态时,外界的输入对结果没有影响。故时间仍为10秒,输出统计人数为0。仿真波形如图5所示。

图5 复位时的模拟结果

在非复位状态下,主持人按下开始键。表决开始。在没有人投票的情况下。时间变为0。表决结束。仿真波形如图6所示。

图6 无人赞成时的模拟结果

在非复位状态下,主持人按下开始键。表决开始。当超出表决时间时才进行表决,此表决无效。仿真波形如图7所示。

图7 规定时间外的模拟结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有两人赞同,仿真波形如图8所示。

图8 两人赞成时的结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有三人赞同,仿真波形如图9所示。

图9 三人赞成时的结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有四人赞同,仿真波形如图10所示。

图10 四人赞成时的结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有无五人赞同,仿真波形如图11所示。

图11 四人赞成时的结果

管脚锁定之后再次编译,再下载到实验箱进行再次验证。

KD2复位信号;KD3开始信号;KD4~KD8为五个人;LED1显示通过与不通过;静态数码管8显示倒计时和数码管7通过的人数。分别拨动相应的开关即显示相应的结果。

(1)当KD2=0时,LED1不亮,数码管8显示A,数码管7显示为0。

(2)当KD2=1,KD3=1时,AA1~AA5都为0时,LED1熄灭,数码管7显示为0,数码管8显示倒计时A.9.8.7.6.5.4.3.2.1。

(3)当KD2=1,KD3=1时,AA1~AA5在倒计时时间外赋值。数码管8倒计时,数码管7显示为0。

(4)当KD2=1,KD3=1时,AA5=1,AA4=1.其它为0时,LED1熄灭,数码管7显示为2,数码管8显示倒计时A.9.8.7.6.5.4.3.2.1。

(5)当KD2=1,KD3=1时,AA5=1,AA4=1.AA3=1, 其它为0时,LED1亮,数码管7显示为3,数码管8显示倒计时A.9.8.7.6.5.4.3.2.1。

6 实验结论

该实验是一个具有计时功能的五人表决器,时间限制为10秒,主持人控制复位,开始。输出结果为表决通过的人数和最后表决是否通过。

7 实验总结

该实验的关键是统计表决通过的人数和时间的限制,即怎样将通过的人数加起来,并且应该在什么时候统计!通过实验知道:应该在倒计时完成之后统计。因为不管你是在第几秒赞成,但只要你赞成,就意味在倒计时结束时也是赞成的,所以应该在此时统计。并且在倒计时之外,表决无效。

通过一星期的紧张工作,最后完成了我的设计任务——五人多数表决器的设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。

在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其

在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。

致谢

本次课程设计主要由我们两人共同完成,其间当然老师也同样是付出了大量的时间和精力来帮助我们。不论结果怎样,我们都会欣然接受,因为我们努力过了。同时我们也要衷心地感谢我们所有的老师,以及帮助我们的同学,要是没你们的帮助我们是不能这么好的完成这次课程设计的。

参考文献

[1]延明,张亦化.数字电路EDA技术入门[M].北京:邮电大学出版社,2006.

[2]徐惠民,安德宁.数字逻辑设计与VHD L描述[M].北京:机械工程出版社,2002.

[3] 潘松,王国栋.VHDL实用教程[M].成都:电子科技大学出版社,2001.

[4]边计年,薛宏熙译.用VHDL设计电子线路[M]. 北京:清华大学出版社,2000.88-89.

[5]黄正谨,徐坚等.CPLD系统设计技术入门与应用[M].北京:电子工业出版社,2002.

[6]蒋璇,蔵春华.数学系统设计与PLD应用技术[M]. 北京:电子工业出版社,2001.

[7]李宗伯,王蓉晖译.VHDL设计表示和综合[M]. 北京:机械工业出版社,2002.

[8]潘松,黄继业,王国栋. 现代DSP技术[M]. 西安:西安电子科技大学出版社,2003.

附录

源程序:

LIBRARY IEEE

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY BIAOJUE IS

PORT( AA:IN STD_LOGIC_VECTOR(1 TO 5);

PASS:OUT BIT;

TOTAL:OUT STD_LOGIC_VECTOR(3 DOWNTO 1);

SHIJIAN:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);

FUWEI,KAISHI,CLK:IN STD_LOGIC);

END BIAOJUE;

ARCHITECTURE FUNG OF BIAOJUE IS

BEGIN

PROCESS(AA,CLK,KAISHI,FUWEI)

VARIABLE SUO:BOOLEAN;

VARIABLE SUM:STD_LOGIC_VECTOR(1 TO 3);

BEGIN

IF (FUWEI='0') THEN

PASS<='0';

SHIJIAN<="1010";

SUO:=FALSE;

SUM:="000";

TOTAL<="000";

ELSIF (CLK'EVENT AND CLK='1') THEN

IF (KAISHI='1') THEN

IF (NOT SUO) THEN

IF SHIJIAN="0000" THEN

SUO:=TRUE;

FOR N IN 1 TO 5 LOOP

SUM:=AA(N)+SUM;

END LOOP;

ELSE

SHIJIAN<=SHIJIAN-1;

END IF;

END IF;

TOTAL<=SUM;

IF (SUM >= 3) THEN

PASS<='1';

ELSE

PASS<='0';

END IF;

END IF;

END IF;

END PROCESS;

END FUNG;

五人表决器的数字电路课程设计

数字电路课程设计报告书 课题名称 五人表决器的设计 姓 名 **** 学 号 ******** 院、系、部 物理与电信工程系 专 业 电子信息工程 指导教师 ***** ***年 **月 **日 ※※※※※※※※※ ※※ ※※ ※ ※ ※※※※※※※※※ ****级电子信息工程专业电子技术课 程设计

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1

数电课程设计五人表决器设计

数电部分 五人表决器设计 一、设计任务与要求 1.设计一个五人表决器,通过红绿两种不同颜色的灯来代表表决是否通过,并用数码管显示出同意的人数。 2.使用74HC138译码器芯片进行控制,按照少数服从多数的原则,多数人同意则通过,少数人同意则被否决。用绿灯亮表通过,红灯亮表示否决。 3.学会根据已学知识设计具有某一特定功能的电路,学会基本电路的组装与调试。 二、方案设计与论证 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。对逻辑电位进行译码,对译码后芯片的输出进行显示。 对于五人表决器,首先设五人分别为A B C D E ,设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则绿灯亮 (绿灯用“1”表示),红灯亮(红灯用“0”表示)。 五人表决器真值表

如果绿灯亮了,则表示表决通过,若红灯亮了,表示不通过。 三、单元电路设计与参数计算 芯片74HC138,是典型的集成译码器。它是3线-8线译码器,该译码器有3位二进制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效。此外,还设置了3个使能端G1 G2A G2B,为电路的扩展提供了方便。 74HC138集成译码器功能表

U7 74HC138D_2V Y015Y114Y213Y312Y411Y510Y69Y7 7 A 1 B 2 C 3G16~G2A 4~G2B 5 74HC138外围引脚分布图 芯片74HC139,是双2线-4线译码器,它的输出也是低电平有效,符号匡内部的输入、输出变量表示其内部的逻辑关系。 74HC139 2-4线译码器真值表 U6A 74HC139DW_2V 1Y041Y151Y261Y3 7 1A 21B 3~1G 1

换热器原理及设计大纲.pdf

《换热器原理及设计》教学大纲 Principles and Design of Heat Exchanger 一、课程类别和教学目的 课程类别:专业课 课程教学目标:通过该门课程的学习,使学生了解各种常用热交换器(也称换热器)的工作原理,掌握以满足流动和传热为条件的热交换器的设计方法,了解热交换器的实验研究方法、强化技术和性能评价,为以后的学习、创新和科学研究打下扎实的理论和实践基础。 二、课程教学内容 (一)绪论 介绍热交换器的重要性、分类及其在工业中的应用,换热器设计计算的内容。 (二)热交换器计算的基本原理 介绍传热方程式、热平衡方程式的应用;讲授流体比热或传热系数变化时的平均温差的 计算方法、传热有效度、热交换器计算方法的比较、流体流动计算方法的比较。 (三)管壳式热交换器 介绍管壳式热交换器的类型、标准与结构;讲授管壳式热交换器的结构计算、传热计算和流动阻力计算、管壳式热交换器的设计程序、管壳式冷凝器与蒸发器的工作特点。 (四)高效间壁式热交换器 介绍螺旋板式热交换器、板式热交换器、板翅式热交换器、翅片管热交换器、热管热交 换器、蒸发(冷却)器、微尺度热交换器的结构、工作原理及其设计计算。 (五)混合式热交换器 讲授冷水塔的热力计算、通风阻力计算与设计计算,汽-水喷射式热交换器的相关计算、水-水喷射式热交换器的相关计算;介绍混合式热交换器的分类。 (六)蓄热式热交换器 介绍回转型蓄热式热交换器和阀门切换型蓄热式热交换器的构造和工作原理;讲授蓄热式热交换器的计算、蓄热式热交换器与间壁式热交换器中气流及材料的温度变化比较。 (七)热交换器的试验与研究 介绍传热系数的测定方法、阻力特性实验的测定方法;讲授增强传热的基本途径、热交换器的结垢类型与腐蚀方法、热交换器的优化设计与性能评价方法。 三、课程教学基本要求 (一)绪论

五人表决器设计

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1

0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 0 1 1 0 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 0 1 0 1 1 1 1 1 0 1 1 1 0 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 0 1 1 0 1 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 如果红灯亮了,则表示表决通过,若绿灯亮了,表示不通过。下面介绍系统所涉及的重要芯片。 3.3、主要元件介绍 芯片74HC138,是典型的集成译码器。它是3线-8线译码器,该译码器有3位二进制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效。此外,还设置了3个使能端G1 G2A G2B,为电路的扩展提供了方便。 表3.2 74HC138集成译码器功能表 输入输出 G1G2A 非G2B 非 C B A Y0 非 Y1 非 Y2 非 Y3 非 Y4 非 Y5 非 Y6 非 Y7 非 * H * * * * H H H H H H H H * * H * * * H H H H H H H H L * * * * * H H H H H H H H H L L L L L L H H H H H H H H L L L L H H L H H H H H H H L L L H L H H L H H H H H H L L L H H H H H L H H H H H L L H L L H H H H L H H H H L L H L H H H H H H L H H H L L H H L H H H H H H L H H L L H H H H H H H H H H L

五人表决器的设计说明

《数字与逻辑电路基础》课程设计 ——五人表决器的设计 姓名: 学号:2015 学院:自动 任课教师:

目录................................................................... (2) 引言 (3) 摘要.............................................. 错误!未定义书签。实验设计原理...................................... 错误!未定义书签。实验步骤.......................................... 错误!未定义书签。真值表 (4) 卡诺图 (5) 电路图 (7) Multisim仿真截图 (8) 电路设计总结 (8)

引言: 现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否 的结果。 摘要: 74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分 区工作。 实验设计原理分析: 先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。

实验步骤如下: 一.列出5人表决结果真值表。

换热器原理与设计(答案)

广东海洋大学 2013年清考试题 《换热器原理与设计》课程试题 课程号: 1420017 √ 考试 □ A 卷 □ 闭卷 □ 考查 □ B 卷 √ 考试 一.填空题(10分。每空1分) 1.相比较沉浸式换热器和喷淋式换热器,沉浸式换热器传热系数 较低。 2.对于套管式换热器和管壳式换热器来说, 套管式换热器 金属耗量多,体积大,占地面积大,多用于传热面积不大的换热器。 3.在采用先逆流后顺流<1-2>型热效方式热交换器时,要特别注意温度交叉问题,避免的方法是 增加管外程数 和两台单壳程换热器串联。 4.在流程的选择上,腐蚀性流体宜走 管程,流量小或粘度大的流体宜走壳程,因折流档板的作用可使在低雷诺数(Re >100)下即可达到湍流。 5.采用短管换热,由于有入口效应,边界层变薄,换热得到强化。 6. 相对于螺旋槽管和光管,螺旋槽管的换热系数高. 7. 根据冷凝传热的原理,层流时,相对于横管和竖管,横管 传热系数较高。 8.减小管子的支撑跨距能增加管子固有频率,在弓形折流板缺口处不排管,将 减小 管子的支撑跨距 9. 热交换器单位体积中所含的传热面积的大小大于等于700m 2/m 3,为紧凑式换热器。 10. 在廷克流动模型中ABCDE5股流体中,真正横向流过管束的流路为B 股流体,设置旁路挡板可以改善C 股流体对传热的不利 GDOU-B-11-302 班级: 姓 名: 学号: 试题共 4 页 加白纸3 张 密 封 线

影响。

二.选择题(20分。每空2分) 1.管外横向冲刷换热所遵循侧传热准则数为(C ) A. 努赛尔准则数 B. 普朗特准则数 C. 柯尔本传热因子 D. 格拉肖夫数 2.以下哪种翅片为三维翅片管( C ) A. 锯齿形翅片 B. 百叶窗翅片 C. C管翅片 D. 缩放管 3.以下换热器中的比表面积最小( A ) A.大管径换热器B.小管径换热器 C.微通道换热器 D. 板式换热器 4. 对于板式换热器,如何减小换热器的阻力(C ) A.增加流程数B.采用串联方式 C.减小流程数 D. 减小流道数。 5.对于板翅式换热器,下列哪种说法是正确的( C ) A.翅片高度越高,翅片效率越高 B.翅片厚度越小,翅片效率越高 C.可用于多种流体换热。 D. 换热面积没有得到有效增加。 6.对于场协同理论,当速度梯度和温度梯度夹角为( A ),强化传热效果最好。 A.0度B.45度 C.90度 D. 120度 7. 对于大温差加热流体(A ) A.对于液体,粘度减小B.对于气体,粘度减小 C.对于液体,传热系数减小 D. 对于气体,传热系数增大8. 对于下列管壳式换热器,哪种换热器不能进行温差应力补偿( B ) A.浮头式换热器B.固定管板式换热器 C.U型管换热器 D. 填料函式换热器。 9. 对于下列管束排列方式,换热系数最大的排列方式为( A ) A.正三角形排列B.转置三角形排列 C.正方形排列 D. 转正正方形排列。 10. 换热器内流体温度高于1000℃时,应采用以下何种换热器(A )

五人多数表决器VHDL

五人多数表决器的VHDL设计 1 设计要求 (1)五人多数表决逻辑:多数通过; (2 )在主持人控制下,10秒内表决有效; (3)设主持人控制键,复位键: 控制键:启动表决; 复位键:系统复位。 2 设计说明 在脉冲作用下,使用减法计数器,在初值为10秒的时候,主持人按控制键启动表决后,开始计时。每来一个脉冲计数器就减少1。一直这样下去,直到计数器变为0。计数器为0时投票无效。最后统计投票人数通过同意人数决定表决结果,当投票人不小于3人时,投票通过。在主持人按下复位键时,计数回到10,重新进行减法计数器。直到为0。 3 设计结果 3.1 电路原理图 图1 原理图 3.2 信号表 voter:一维数组voter用来表示五位表决者; pass:表决最终是否通过(‘1’为“通过”,‘0’为“未通过”);

total:表决通过的人数; count:用来显示倒计时; reset:主持人复位键,用来系统复位; start:主持人控制键,用来启动表决; clk:系统时钟; 图2 信号图 3.3 仿真结果 当处于复位状态时,外界的输入对结果没有影响。故时间仍为10秒,输出统计人数为0。仿真波形如图3所示。 图3 复位时的模拟结果 在非复位状态下,主持人按下开始键。表决开始。在没有人投票的情况下。时间变为0。表决结束。仿真波形如图4所示。

图4 无人赞成时的模拟结果 在非复位状态下,主持人按下开始键。表决开始。当超出表决时间时才进行表决,此表决无效。仿真波形如图5所示。 图5 规定时间外的模拟结果 在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有两人赞同,仿真波形如图6所示。 图6 两人赞成时的结果

化学反应器自动控制系统设计

目录 摘要.............................................................................................................................III 1 关于化学反应 (1) 2 关于化学反应器 (2) 2.1 反应器的类型 (2) 2.2 反应器的性能指标 (2) 2.3 反应器的控制要求 (2) 3 反应器的控制方案 (4) 3.1 反应器常用的控制方式 (4) 3.2 温度被控变量的选择 (5) 3.3 控制系统的选择 (6) 4 反应器串级系统的控制原理 (9) 4.1 系统方框图 (9) 4.2 系统原理分析 (9) 5 反应器的部分实现 (11) 5.1 原料的比值控制 (11) 5.2 仪器仪表的选择 (12) 6 设计总结与展望 (13) 参考文献 (14)

化学反应器自动控制系统设计 1 关于化学反应 化学反应的本质是物质的原子、离子重新组合,使一种或者几种物质变成另一种或几种物质。化学反应过程具备以下特点: 1) 化学反应遵循物质守恒和能量守恒定律。因此,反应前后物料平衡,总热量也平衡; 2) 反应严格按反应方程式所示的摩尔比例进行; 3) 化学反应过程中,除发生化学变化外,还发生相应的物理等变化,其中比较重要的有热量和体积的变化; 4) 许多反应应需在一定的温度、压力和催化剂存在等条件下才能进行。 此外,反应器的控制方案决定于化学反应的基本规律: 1.化学反应速度 化学反应速度定义为:单位时间单位容积内某一部分A 生成或反应掉的摩尔数,即 t A A Vd dn r 1± = (1-1) 若容积V 为恒值,则有 dt dC dt V dn r A A A ±=± =/ (1-2) 式中 r A ——组分A 的反应速度,mol/m 3·h ; n A ——组分A 的摩尔数,mol ; C A ——组分A 的摩尔浓度,mol/m 3; V ——反应容积,m 3。 2.影响化学反应速度的因素 实验和理论表明,反应物浓度(包括气体浓度,溶液浓度等)对化学反应速度有关键作用。温度对化学反应速度影响较为复杂,最普遍的是反应速度与温度成正比。而对于气相反应或有气相存在的反应,增大压力(压强)会加速反应的进行。化学反应还受催化剂,反应深度等因素的影响,这些都是要在设计反应器是需要考虑的。

换热器原理与设计(答案)

海洋大学 2013年清考试题 《换热器原理与设计》课程试题 课程号: 1420017 √ 考试 □ A 卷 □ 闭卷 □ 考查 □ B 卷 √ 考试 一.填空题(10分。每空1分) 1.相比较沉浸式换热器和喷淋式换热器,沉浸式换热器传热系数 较低。 2.对于套管式换热器和管壳式换热器来说, 套管式换热器 金属耗量多,体积大,占地面积大,多用于传热面积不大的换热器。 3.在采用先逆流后顺流<1-2>型热效方式热交换器时,要特别注意温度交叉问题,避免的方法是 增加管外程数 和两台单壳程换热器串联。 4.在流程的选择上,腐蚀性流体宜走 管程,流量小或粘度大的流体宜走壳程,因折流档板的作用可使在低雷诺数(Re >100)下即可达到湍流。 5.采用短管换热,由于有入口效应,边界层变薄,换热得到强化。 6. 相对于螺旋槽管和光管,螺旋槽管的换热系数高. 7. 根据冷凝传热的原理,层流时,相对于横管和竖管,横管 传热系数较高。 8.减小管子的支撑跨距能增加管子固有频率,在弓形折流板缺口处不排管,将 减小 管子的支撑跨距 9. 热交换器单位体积中所含的传热面积的大小大于等于700m 2/m 3,为紧凑式换热器。 10. 在廷克流动模型中ABCDE5股流体中,真正横向流过管束的流路为B 股流体,设置旁路挡板可以改善C 股流体对传热的不利影 GDOU-B-11-302 班级: 姓 名: 学号: 试题共 4 页 加白纸3 张 密 封 线

响。

二.选择题(20分。每空2分) 1.管外横向冲刷换热所遵循侧传热准则数为 (C ) A. 努赛尔准则数 B. 普朗特准则数 C. 柯尔本传热因子 D. 格拉肖夫数 2.以下哪种翅片为三维翅片管( C ) A. 锯齿形翅片 B. 百叶窗翅片 C. C管翅片 D. 缩放管 3.以下换热器中的比表面积最小( A ) A.大管径换热器B.小管径换热器 C.微通道换热器 D. 板式换热器 4. 对于板式换热器,如何减小换热器的阻力(C ) A.增加流程数B.采用串联方式 C.减小流程数 D. 减小流道数。 5.对于板翅式换热器,下列哪种说法是正确的( C ) A.翅片高度越高,翅片效率越高 B.翅片厚度越小,翅片效率越高 C.可用于多种流体换热。 D. 换热面积没有得到有效增加。 6.对于场协同理论,当速度梯度和温度梯度夹角为( A ),强化传热效果最好。 A.0度B.45度 C.90度 D. 120度 7. 对于大温差加热流体 (A ) A.对于液体,粘度减小B.对于气体,粘度减小 C.对于液体,传热系数减小 D. 对于气体,传热系数增大 8. 对于下列管壳式换热器,哪种换热器不能进行温差应力补偿( B ) A.浮头式换热器B.固定管板式换热器 C.U型管换热器 D. 填料函式换热器。 9. 对于下列管束排列方式,换热系数最大的排列方式为( A ) A.正三角形排列B.转置三角形排列 C.正方形排列 D. 转正正方形排列。 10. 换热器流体温度高于1000℃时,应采用以下何种换热器(A )

七人表决器实验报告

竭诚为您提供优质文档/双击可除七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器 总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件multisim10.0.1进行仿真,在电机楼实验室20XX5进行验证。 三、设计要求 1、熟悉74Ls161,74Ls151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1.电路原理图(含管脚接线)电路原理图如图1所示 图1电路原理图 2.计算与仿真分析

仿真结果如图2、3、4所示 图2仿真结果 图4仿真结果 4.调试流程 调试流程如图5所示 图5调试流程 5.设计和使用说明 74Ls151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为c~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,g为使能端,低电平有效。 (1)使能端g=1时,不论c~A状态如何,均无输出(Y=0,w=1),多路开关被禁止。 (2)使能端g=0时,多路开关正常工作,根据地址码c、b、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图674Ls151引脚排列 表174Ls151功能表 74Ls161功能: (1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。 (3)计数和进位功能:将LD、cr、ceT、cep端均接高电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,每输入一个cp 脉冲,计数器就进行一 篇二:课程设计报告---七人表决器设计 电子综合设计 题目 学院 专业 班级学生姓名指导教师 七人抢答器设计计信学院电子信息工程 20XX年6月18日 一、设计原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人

热交换器原理与设计

绪论 1. 2.热交换器的分类: 1)按照材料来分:金属的,陶瓷的,塑料的,是摸的,玻璃的等等 2)按照温度状况来分:温度工况稳定的热交换器,热流大小以及在指定热交换区域内的温度不随时间而变;温度工况不稳定的热交换器,传热面上的热流和温度都随时间改变。3)按照热流体与冷流体的流动方向来分:顺流式,逆流式,错流式,混流式 4)按照传送热量的方法来分:间壁式,混合式,蓄热式 恒在壁的他侧流动,两种流体不直接接触,热量通过壁面而进行传递。 过时,把热量储蓄于壁内,壁的温度逐渐升高;而当冷流体流过时,壁面放出热量,壁的温度逐渐降低,如此反复进行,以达到热交换的目的。 第一章 1.Mc1℃是所需的热量,用W表示。两种流体在热交换器内的温度变化与他们的热容量成反比;即热容量越大,流体温度变化越小。 2.W—对应单位温度变化产生的流动流体的能量存储速率。 4.顺流和逆流情况下平均温差的区别:在顺流时,不论W1、W2值的大小如何,总有μ>0,因而在热流体从进口到出口的方向上,两流体间的温差△t总是不断降低;而对于逆流,沿着热流体进口到出口方向上,当W1<W2时,μ>0,△t不断降低,当W1>W2时,μ<0,△t不断升高。 5.P(定义式P12) 物理意义:流体的实际温升与理论上所能达到的最大温升比,所以只能小于1。 6.R—冷流体的热容量与热流体的热容量之比。(定义式P12) 7.从φ值的大小可看出某种流动方式在给定工况下接近逆流的程度。除非处于降低壁温的目的,否则最好使φ>0.9,若φ<0.75就认为不合理。 (P22 例1.1) 8.所谓Qmax是指一个面积为无穷大且其流体流量和进口温度与实际热交换器的流量和进口温度相同的逆流型热交换器所能达到的传热量的极限值。 9.实际传热量Q与最大可能传热量Qmaxε表示,即ε=Q/Qmax。意义:以温度形式反映出热、冷流体可用热量被利用的程度。 10.根据ε的定义,它是一个无因次参数,一般小于1。其实用性在与:若已知ε及t1′、t2′时,就可很容易地由Q=εW min(t1′-t2′)确定热交换器的实际传热量。 11.带翅片的管束,在管外侧流过的气体被限制在肋片之间形成各自独立的通道,在垂直于 流动方向上(横向)不能自由运动,也就不可能自身进行混合,

七人表决器课程设计报告

课程设计 课程名称硬件描述语言与EDA技术 题目名称硬件描述语言与EDA技术实践学生学院材料与能源 专业班级 11微电子学(1)班 学号 学生姓名 指导教师 2014年6月27日

广东工业大学课程设计任务书 题目名称硬件描述语言与EDA技术实践 学生学院材料与能源学院 专业班级11微电子学(1)班 姓名 学号 一、课程设计的内容与要求 1.系统功能分析,分模块层次化设计; 2.实现系统功能的方案设计; 3.编写各功能模块VHDL语言程序; 4.对各功能模块进行编译、综合、仿真和验证; 5.顶层文件设计,可用VHDL语言设计,也可以用原理图设计; 6.整个系统进行编译、综合、仿真和验证; 7.在CPLD/FPGA实验开发系统试验箱上进行硬件验证; 8.按所布置的题目要求,每一位学生独立完成全过程。 二、课程设计应完成的工作 1.所要求设计内容的全部工作; 2.按设计指导书要求提交一份报告书; 3.提交电子版的设计全部内容:工程目录文件夹中的全部内容,报告书

三、课程设计进程安排 序号设计各阶段内容地点起止日期 1 布置设计题目和要求;收集相关资料。工3-317或宿舍 6.23 2 方案分析与确定;编写VHDL源程序。工3-317或宿舍 6.24 3 编写VHDL源程序;编译、综合、仿真、 定时分析、适配。 工3-317或宿舍 6.25 4 下载和硬件验证;验收。工3-317 6.26 5 下载和硬件验证;验收;撰写报告工3-317 6.27 6 7 8 四、应收集的资料及主要参考文献 1.陈先朝,硬件描述语言与EDA技术实践指导书,2014年3月 2.曹昕燕等编著,EDA技术实验与课程设计,清华大学出版社,2006年5 月 3.刘欲晓等编著,EDA技术与VHDL电路开发应用实践,电子工业出版社, 2009年4月 4.刘昌华等编著,数字逻辑EDA设计与实践:MAX+plusⅡ与QuartusⅡ双 剑合璧,国防工业出版社,2009年 5.刘江海主编,EDA技术课程设计,华中科技大学出版社,2009年1月 发出任务书日期: 2014年6月 23日指导教师签名: 计划完成日期: 2014年6月 27日基层教学单位责任人签章: 主管院长签章:

四人表决器电路设计

名称:综合训练项目一题目:四人表决器电路设计 专业: 班级: 姓名: 学号: 辽宁工程技术大学 《数字电子技术》 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管

基于Multisim的五人表决器设计

摘要:本次课程设计的目的是作出一个五人表决器,要求能实现五人表决器的基本功能。采用了组合电路的设计方法,首先根据表决器的功能写出真值表,得出输出的表达式,再根据卡诺图,得到最简的表达式。然后使用Multisim设计出原理图,仿真能得到正确的结果。 关键词:五人表决器、74LS283N、7485N、译码显示、表决 一、设计目的: (1)、熟悉集成电路的引脚安排。 (2)、掌握Multisim的基本用法。 (3)、掌握74LS283N、7485N等芯片的逻辑功能和译码显示器的使用方法。 (4)、熟悉五人表决器的组成和工作原理。 (5)、熟悉五人表决器的设计。 二、设计思路: (1)、设计表决器的工作电路。 (2)、设计输入电路。 (3)、设计清零电路。 (4)、设计显示输出电路。 三、设计过程: 3.1 整体设计思路 本次设计的五人表决器根据其实现的功能要求,大概可以分为四大方面:一是5人的按键选择;二是74LS283芯片,对输入电平进行全加;三是7485N芯片,对其进行比较;四是译码显示器对逻辑运算后的结果进行输出显示,完成五人表决器的功能。 根据以上的整体设计思路,五人表决器可以利用以下方框图,作形象的展现。其总体方框图如图1:

——— ——— 图1 五人表决器框图 结合以上框图,综述如下: 第一步:按键选择。五人评委根据意愿按下按键,即输入逻辑电位。 第二步:全加。有关芯片对所输进的逻辑电位,进行译码。 第三步:逻辑运算。对译码后芯片的输出进行逻辑运算。 第四步:显示输出。将运算结果通过译码显示器输出,显示表决结果。 3.2 各部分的具体电路设计 1、按键选择部分的电路设计 五人多数表决,只要在规定时间内,赞成人数大于或等于三,则表决通过。因此,只需将每位表决人的结果相加,判断结果值,然后再将结果值显示出来既可以得到 设五个开关作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的五个输入变量中有3个以上(含3个)为“1”时。则表决器输出为“1”;否则为“0”。 2、全加部分的电路设计 A.74LS283N的引脚、功能、参数、真值表 (1)、74LS283N为四位二进制超前进位全加器,可进行两个四位二进制数的加法运算,每位有和输出Σ1~Σ4,进位由第四位得到C4。

换热器基础学习知识原理与设计期末复习资料题重要资料·

第一章 1.填空: 1.按传递热量的方式,换热器可以分为间壁式, 混合式, 蓄热式 2. 对于沉浸式换热器,传热系数低,体积大,金属耗量大。 3. 相比较沉浸式换热器和喷淋式换热器,沉浸式换热器传热系数较低,喷淋式换热器冷却水过少时,冷却器下部不能被润湿. 4.在沉浸式换热器、喷淋式换热器和套管式换热器中,套管式换热器中适用于高温高压流体的传热。 5.换热器设计计算内容主要包括热计算、结构计算流动阻力计算和强度计算 6.按温度状况来分,稳定工况的和非稳定工况的换热器 7.对于套管式换热器和管壳式换热器来说,套管式换热器金属耗量多,体积大,占地面积大,多用于传热面积不大的换热器。 2.简答: 1.说出以下任意五个换热器,并说明换热器两侧的工质及换热方式 答:如上图,热力发电厂各设备名称如下: 1.锅炉(蒸发器) *;2.过热器*;3.省煤器* 4.空气预热器*;5.引风机;

6.烟囱;7.送风机;8.油箱9.油泵 1 0.油加热器*;11.气轮机;12.冷凝器*;13.循环水冷却培* 14.循环水泵;15.凝结水泵;16.低压加热器*;17.除氧(加热)器*;18.给水泵19.高压加热器·柱!凡有·者均为换热器 2.比较沉浸式换热器、喷淋式换热器、套管式换热器和管壳式换热器的优缺点答:⑴沉浸式换热器 缺点:自然对流,传热系数低,体积大,金属耗量大。 优点:结构简单,制作、修理方便,容易清洗,可用于有腐蚀性流体 ⑵喷淋式换热器: 优点:结构简单,易于制造和检修。换热系数和传热系数比沉浸式换热器要大,可以用来冷却腐蚀性流体 缺点:冷却水过少时,冷却器下部不能被润湿,金属耗量大,但比沉浸式要小 ⑶套管式换热器: 优点:结构简单,适用于高温高压流体的传热。特别是小流量流体的传热,改变套管的根数,可以方便增减热负荷。方便清除污垢,适用于易生污垢的流体。 缺点:流动阻力大,金属耗量多,体积大,占地面积大,多用于传热面积不大的换热器。 ⑷管壳式换热器: 优点:结构简单,造价较低,选材范围广,处理能力大,还可以适应高温高压的流体。可靠性程度高

三人表决器设计

西安电子科技大学 《数字电子技术》课程设计 题目三人表决器设计 学生姓名 专业班级通信工程 学号2011 院(系)信息工程学院 指导教师邓国辉 完成时间 2013年11月29日 手机号码

目录 1课程设计的目的 (1) 2课程设计的任务与要求 (1) 3设计方案与论证 (2) 3.2 表决方案的选择 (2) 3.3显示方案的选择 (3) 4设计原理及功能说明 (3) 4.1 三人表决器的原理框图 (3) 4.2 三人表决器基本原理图 (3) 5单元电路的设计 (4) 5.1 触发电路设计 (4) 5.2 表决电路设计 (5) 5.3 计数部分电路设计 (6) 6硬件的制作与调试 (7) 6.1 硬件的制作 (7) 6.2 硬件的调试 (8) 7总结 (8) 参考文献 (10)

附录1:总体电路原理图 (11) 附录2:元器件清单 (12)

1课程设计的目的 1、记住逻辑代数的基本定律和常用公式; 2、会用公式法和卡诺图法化简逻辑函数; 3、会识别、选购常用电路元、器件,掌握常用电路元器件的检测方法; 4、掌握逻辑门电路的逻辑功能与主要参数的测试和使用方法; 5、能合理利用门电路设计表决器; 6、能熟练掌握电路原理,及时调试和排除故障。 2课程设计的任务与要求 1、熟悉各集成逻辑元件的性能和设置元件的参数。 2、对电路图的原理进行分析,并对原理图进行改良,用仿真软件进行仿真调试,弄清楚电路的工作原理。 3、元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 4、对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5、学习数字逻辑电路的设计方法。 6、熟知74ls74、74ls08、74HC4075、74ls373各引脚的功能及内部结构。 7、学会使用各集成芯片组成逻辑电路。 8、学会真值表与逻辑表达式及的转换,能根据化简后的逻辑表达式画出逻辑电路。 9、完成“三人表决器”的逻辑设计,及组合电路的设计。

五人表决器的数字电路课程设计

数字电路课程设计报告书 课题名称五人表决器的设计 姓名陈泽 学号******** 院、系、部物理与电信工程系 专业电子信息工程 指导教师***** ***年 **月 **日

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1

五人人表决器 数字电路

Guangxi University of Science and Technology 数字电子技术基础课程设计 课程名称:数字电子技术 课题名称:5人表决器 姓名:庞兴文 学号:201200402009 院系:计算机学院通信工程专业 专业班级:通信121 指导教师:张雅兰 完成日期:2014年7月10日

目录 一.设计任务 (3) 二.设计要求 (3) 三.设计目的 (3) 四.设计思路 (4) 五.设计过程 (4) 六.设计体会 (10)

一、设计任务 ①设计一个五人表决器。 ②通过灯亮来代表表决是否通过 二、设计要求 ①学习使用八选一数据选择器芯片74LS151进行控制。 ②当有三个或三个以上的人同意则通过,少于三个同意则被否决。 ③用红灯表示五人中亮灯的情况,用绿灯亮表示通过。

三、设计目的 本课程设计是在前导验证性认知实验基础上,进行更高层次的命题设计实验,要求学生在教师指导下独立查阅资料、设计、安装和调试特定功能的电子电路。培养学生利用模拟、数字电路知识,解决电子线路中常见实际问题的能力,使学生积累实际电子制作经验,目的在于巩固基础、注重设计、培养技能、追求创新、走向实用用已学过的知识和对数字电子技术的基本理论,基本概念,基本方法和单元电路,逻辑部件的深入认识,而拓宽思路,扩大视野,进一步巩固,扩充所学知识,提高分析问题和解决问题的能力。(1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 (4)学习Altium designer软件 四、设计思路 (1)设计表决工作电路 (2)设计不同开关控制数据选择器 (3)设计输出显示电路

换热器基本知识与设计(答案解析)

GDOU-B-11-302 班 级 : 姓 名 : 学 号 : 试 题 共 4 页 加 白 纸 3 张

10. 在廷克流动模型中ABCDE5股流体中,真正横向流过管束的流路为B股流体,设置旁路挡板可以改善C股流体对传热的不利影响。

二.选择题(20分。每空2分) 1.管外横向冲刷换热所遵循侧传热准则数为(C ) A. 努赛尔准则数 B. 普朗特准则数 C. 柯尔本传热因子 D. 格拉肖夫数 2.以下哪种翅片为三维翅片管( C ) A. 锯齿形翅片 B. 百叶窗翅片 C. C管翅片 D. 缩放管 3.以下换热器中的比表面积最小( A ) A.大管径换热器B.小管径换热器 C.微通道换热器 D. 板式换热器 4. 对于板式换热器,如何减小换热器的阻力(C ) A.增加流程数B.采用串联方式 C.减小流程数 D. 减小流道数。 5.对于板翅式换热器,下列哪种说法是正确的( C ) A.翅片高度越高,翅片效率越高 B.翅片厚度越小,翅片效率越高 C.可用于多种流体换热。 D. 换热面积没有得到有效增加。 6.对于场协同理论,当速度梯度和温度梯度夹角为( A ),强化传热效果最好。 A.0度B.45度 C.90度 D. 120度 7. 对于大温差加热流体(A ) A.对于液体,粘度减小B.对于气体,粘度减小C.对于液体,传热系数减小 D. 对于气体,传热系数增大8. 对于下列管壳式换热器,哪种换热器不能进行温差应力补偿( B ) A.浮头式换热器B.固定管板式换热器 C.U型管换热器 D. 填料函式换热器。 9. 对于下列管束排列方式,换热系数最大的排列方式为( A ) A.正三角形排列B.转置三角形排列 C.正方形排列 D. 转正正方形排列。 10. 换热器内流体温度高于1000℃时,应采用以下何种换热器(A )

相关文档
最新文档