基于STM32F103RBT6芯片的USB键盘设计与实现_张淼

基于STM32F103RBT6芯片的USB键盘设计与实现_张淼
基于STM32F103RBT6芯片的USB键盘设计与实现_张淼

芯片的制造过程资料

芯片的制造过程 芯片的制造过程制造过程可概分为晶圆处理工序(Wafer Fabrication)、晶圆针测工序(Wafer Probe)、构装工序(Packaging)、测试工序(Initial Test and Final Test)等几个步骤。其中晶圆处理工序和晶圆针测工序为前段(Front End)工序,而构装工序、测试工序为后段(Back End)工序。 1、晶圆处理工序:本工序的主要工作是在晶圆上制作电路及电子元件(如晶体管、电容、逻辑开关等),其处理程序通常与产品种类和所使用的技术有关,但一般基本步骤是先将晶圆适当清洗,再在其表面进行氧化及化学气相沉积,然后进行涂膜、曝光、显影、蚀刻、离子植入、金属溅镀等反复步骤,最终在晶圆上完成数层电路及元件加工与制作。 2、晶圆针测工序:经过上道工序后,晶圆上就形成了一个个的小格,即晶粒,一般情况下,为便于测试,提高效率,同一片晶圆上制作同一品种、规格的产品;但也可根据需要制作几种不同品种、规格的产品。在用针测(Probe)仪对每个晶粒检测其电气特性,并将不合格的晶粒标上记号后,将晶圆切开,分割成一颗颗单独的晶粒,再按其电气特性分类,装入不同的托盘中,不合格的晶粒则舍弃。

3、构装工序:就是将单个的晶粒固定在塑胶或陶瓷制的芯片基座上,并把晶粒上蚀刻出的一些引接线端与基座底部伸出的插脚连接,以作为与外界电路板连接之用,最后盖上塑胶盖板,用胶水封死。其目的是用以保护晶粒避免受到机械刮伤或高温破坏。到此才算制成了一块集成电路芯片(即我们在电脑里可以看到的那些黑色或褐色,两边或四边带有许多插脚或引线的矩形小块)。 4、测试工序:芯片制造的最后一道工序为测试,其又可分为一般测试和特殊测试,前者是将封装后的芯片置于各种环境下测试其电气特性,如消耗功率、运行速度、耐压度等。经测试后的芯片,依其电气特性划分为不同等级。而特殊测试则是根据客户特殊需求的技术参数,从相近参数规格、品种中拿出部分芯片,做有针对性的专门测试,看是否能满足客户的特殊需求,以决定是否须为客户设计专用芯片。经一般测试合格的产品贴上规格、型号及出厂日期等标识的标签并加以包装后即可出厂。而未通过测试的芯片则视其达到的参数情况定作降级品或废品。 制造芯片的基本原料 制造芯片的基本原料:硅、金属材料(铝主要金属材料,电迁移特性要好.铜互连技术可以减小芯片面积,同时由于铜导体的电阻更低,其上电流通过的速度也更快)、化学原料等。 芯片制造的准备阶段 在必备原材料的采集工作完毕之后,这些原材料中的一部分需要进行一些预处理工作。作为最主要的原料,硅的处理工作至关重要。首先,硅原料要进行化学提纯,这一步骤使其达到可供半导体工业使用的原料级别。为了使这些硅原料能够满足集成电路制造的加工需要,还必须将其整形,这一步是通过溶化硅原料,然后将液态硅注入大型高温石英容器来完成的。 而后,将原料进行高温溶化为了达到高性能处理器的要求,整块硅原料必须高度纯净,及单晶硅。然后从高温容器中采用旋转拉伸的方式将硅原料取出,此时一个圆柱体的硅锭就产生了。从目前所使用的工艺来看,硅锭圆形横截面的直径为200毫米。在保留硅锭的各种特性不变的情况下增加横截面的面积是具有相当的难度的,不过只要企业肯投入大批资金来研究,还是可以实现的。intel 为研制和生产300毫米硅锭建立的工厂耗费了大约35亿美元,新技术的成功使

集成电路IC设计完整流程详解及各个阶段工具简介

IC设计完整流程及工具 IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。 前端设计的主要流程: 1、规格制定 芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。 2、详细设计 Fabless根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。 3、HDL编码 使用硬件描述语言(VHDL,Verilog HDL,业界公司一般都是使用后者)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过HDL语言描述出来,形成RTL(寄存器传输级)代码。 4、仿真验证 仿真验证就是检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。设计和仿真验证是反复迭代的过程,直到验证结果显示完全符合规格标准。仿真验证工具Mentor公司的Modelsim,Synopsys的VCS,还有Cadence的NC-Verilog均可以对RTL级的代码进行设计验证,该部分个人一般使用第一个-Modelsim。该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真。 5、逻辑综合――Design Compiler 仿真验证通过,进行逻辑综合。逻辑综合的结果就是把设计实现的HDL代码翻译成门级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基

集成电路设计产业平台项目简介(完整版)

集成电路设计产业平台项目简介 集成电路设计产业平台项目简介 一、项目申报单位基本情况 **海恒投资控股集团公司作为国家级**经济技术开发区国有资产授权运营管理机构,截至目前总资产达130亿元。旗下拥有海恒股份、公用事业公司、丹霞地产、项目管理公司、明珠物业、香怡物业、索菲特明珠国际大酒店、迎宾馆、国际会展中心、康拜、西伟德、徽园、金源热电、金晶水务等近三十家全资、控股及参股公司,主要经营业务涉及房地产开发、基础设施建设、社区建设、酒店业、会展服务、物业管理、金融产业、旅游产业、环保产业、能源供应等多个领域。海恒集团立足开发区、服务开发区,发展开发区,现已成为开发区企业管理的平台、资本运作的平台、资金融通的平台和入区项目服务平台。 二、项目建设必要性和意义 在集成电路(IC)产业链中,集成电路制造是基础,而集成电路设计是龙头。IC设计是将系统、逻辑与性能的设计要求转化为具体的物理版图的过程,也是一个把产品从抽象的过程一步步具体化、直至最终物理实现的过程。 **是电子信息产业大省,但设计研发力量薄弱。设计研发是集成电路整体产业链条中的关键环节,高风险、高投入、高技术、高产值。其平台建设耗资巨大,一般企业无法自己承担,又缺乏高水平的公共研发平台,很多企业只好跑到**、**等地具备条件的软件园去搞

研发,或是干脆将这一核心业务外包给别的企业。这使得我省集成电路产业大而不强,进一步发展受到局限。 作为全国第三大家电制造基地,目前**市电冰箱、洗衣机占全国产量的20%以上,是全国家电产品种类、品牌集中度最高的地区之一。拥有自主知识产权的集成电路产品是提升整机企业核心竞争力的关键,随着系统级芯片的发展,IC设计研发生产将成为整机企业生存的一个最重要的支点。同时,**省汽车工业规模强劲增长,在全国汽车产业格局中占据着重要的位置。在产业集群化发展趋势的带动下,未来汽车电子产业基地即将形成,从而将有力带动对上游集成电路产品的需求增长。日益旺盛的市场需求将促使我省集成电路设计产业迅速发展。 平台遵循“政府主导、高端引领、公共服务、开放共享”的原则,面向全省转方式调结构、推进集成电路产业发展以及高端设计团队的需求,着眼集成电路领域前沿技术,高起点、高标准规划建设。在软件方面,配备当今最先进EDA设计软件,可以完成数字电路、模拟电路、数模混合等多个设计流程,既满足千万门级的设计需求,同时也可以完成十万门级以下的设计。在硬件方面,配备也非常先进。同时,还将配备业界主流产品的大学计划软件,帮助IC设计人员和在校学生快速提高设计能力和技术水平。 平台的建成,将有效降低IC设计企业的初创成本和经营风险,为集成电路创新团队提供公共设计平台、设计咨询、流程方法学、版图设计、MPW等专业化服务,同时在风险投资、市场开发、项目管理和人才培训等方面提供支持。今后,**IC平台将在技术支撑、人才培训、企业孵化、招商引资、产业聚集等方面开始发挥越来越重要的作用,

IC设计流程之实现篇全定制设计

IC设计流程之实现篇——全定制设计 要谈IC设计的流程,首先得搞清楚IC和IC设计的分类。 集成电路芯片从用途上可以分为两大类:通用IC(如CPU、DRAM/SRAM、接口芯片等)和专用IC(ASIC)(Application Specific Integrated Circuit),ASIC是特定用途的IC。从结构上可以分为数字IC、模拟IC和数模混合IC三种,而SOC(System On Chip,从属于数模混合IC)则会成为IC设计的主流。从实现方法上IC设计又可以分为三种,全定制(full custom)、半定制(Semi-custom)和基于可编程器件的IC设计。全定制设计方法是指基于晶体管级,所有器件和互连版图都用手工生成的设计方法,这种方法比较适合大批量生产、要求集成度高、速度快、面积小、功耗低的通用IC或ASIC。基于门阵列(gate-array)和标准单元(standard-cell)的半定制设计由于其成本低、周期短、芯片利用率低而适合于小批量、速度快的芯片。最后一种IC设计方向,则是基于PLD或FPGA器件的IC设计模式,是一种“快速原型设计”,因其易用性和可编程性受到对IC制造工艺不甚熟悉的系统集成用户的欢迎,最大的特点就是只需懂得硬件描述语言就可以使用EDA工具写入芯片功能。从采用的工艺可以分成双极型(bipolar),MOS和其他的特殊工艺。硅(Si)基半导体工艺中的双极型器件由于功耗大、集成度相对低,在近年随亚微米深亚微米工艺的的迅速发展,在速度上对MOS管已不具优势,因而很快被集成度高,功耗低、抗干扰能力强的MOS管所替代。MOSFET工艺又可分为NMOS、PMOS和CMOS三种;其中CMOS工艺发展已经十分成熟,占据IC市场的绝大部分份额。GaAs器件因为其在高频领域(可以在0.35um下很轻松作到10GHz)如微波IC中的广泛应用,其特殊的工艺也得到了深入研究。而应用于视频采集领域的CCD传感器虽然也使用IC一样的平面工艺,但其实现和标准半导体工艺有很大不同。在IC开发中,常常会根据项目的要求(Specifications)、经费和EDA工具以及人力资源、并考虑代工厂的工艺实际,采用不同的实现方法。 其实IC设计这个领域博大精深,所涉及的知识工具领域很广,本系列博文围绕EDA工具展开,以实现方法的不同为主线,来介绍这三种不同的设计方法:全定制、半定制和基于FPGA

xxx芯片概要设计模板

项目名称XXX芯片概要设计 文件编号XXXX

版本1.1

版本记录:

目录 目录.......................................................................... -3 -1XXX芯片介绍 .............................................................. -5 -2XXX芯片综述 .............................................................. -6 - 2.1XXX芯片应用环境........................................................ -6 2.2 XXX芯片功能简述........................................................ -6 2.3 XXX芯片的内部功能模块划分.............................................. -6 2.4 XXX芯片的内部功能模块结构图............................................ -6 2.5 XXX芯片处理流程........................................................ -7 2.5.1处理流程一简介................................................ -7 - 2.5.2处理流程二简介................................................ -7 - 3XXX芯片管脚信号定义 ..................................................... -8 - 3.1XXX芯片管脚定义........................................................ -8 3.2 XXX芯片外部接口........................................................ - 8 3.2.1外部接口一介绍................................................ -8 - 3.2.2外部接口二介绍................................................ -9 - 4模块结构详细说明......................................................... -10 - 4.1一级模块一.............................................................. -10 4.1.1功能描述....................................................... -10 - 4.1.2接口说明....................................................... -10 - 4.1.3实现说明....................................................... -10 - 4.1.4表项/寄存器设置............................................... -10 - 4.1.5重要资源使用情况说明.......................................... -10 - 4.2一级模块二............................................................... -11 - 4.2.1功能描述....................................................... -11 -

中国集成电路设计行业概况研究-行业概述

中国集成电路设计行业概况研究-行业概述 (一)行业概述 1、集成电路设计行业概况 集成电路系采用特种电路设计及加工工艺,集成于半导体晶片上的微型电子电路产品。集成电路相比传统的分立电路,通过降低体积减小材料耗用量,大幅降低了制造成本,同时,其微小的体积及元件的紧密排布提高了信息的切换速度并降低了能耗,使得集成电路比分立电路在成本及效率上均有较大的优势。自1958 年第一块集成电路于德州仪器问世以来,集成电路产品发展迅速,广泛用于各种电子产品,成为信息时代中不可或缺的部分。 伴随现代信息技术产业的快速发展,集成电路产业作为现代信息技术产业的基础和核心,已成为关系国民经济和社会发展全局的基础性、先导性和战略性产业,在推动国家经济发展、社会进步、提高人们生活水平以及保障国家安全等方面发挥着广泛而重要的作用,是当前国际竞争的焦点和衡量一个国家或地区现代化程度以及综合国力的重要标志之一。随着国内经济不断发展以及国家对集成电路行业的大力支持,中国集成电路产业快速发展,产业规模迅速扩大,技术水平显著提升,有力推动了国家信息化建设。 完整的集成电路产业链包括设计、芯片制造、封装测试等环节,各环节具有各自独特的技术体系及特点,已分别发展成独立、成熟的子行业。

其中,集成电路设计系根据终端市场的需求设计开发各类芯片产品,集成电路设计水平的高低决定了芯片的功能、性能及成本; 集成电路制造通过版图文件生产掩膜,并通过光刻、掺杂、溅射、刻蚀等过程,将掩膜上的电路图形复制到晶圆基片上,从而在晶圆基片上形成电路; 集成电路封装测试包括封装和测试两个环节,封装是保护芯片免受物理、化学等环境因素造成的损伤,增强芯片的散热性能,实现电气连接,确保电路正常工作;测试主要是对芯片产品的功能、性能测试等,将功能、性能不符合要求的产品筛选出来。 2、集成电路行业产品分类 集成电路产品依其功能,主要可分为模拟芯片(Analog IC)、存储器芯片(Memory IC)、微处理器芯片(Micro IC)、逻辑芯片(Logic IC)。 模拟芯片是处理连续性的光、声音、速度、温度等自然模拟信号,按技术类型可分为只处理模拟信号的线性芯片和同时处理模拟与数字信号的混合芯片;按应用分类可分为标准型模拟芯片和特殊应用型模拟芯片。标准型模拟芯片包括放大器、信号界面、数据转换、比较器等产品。特殊应用型模拟芯片主要应用于通

芯片研发过程介绍

芯片研发基本过程 一款芯片的设计开发,首先是根据产品应用的需求,设计应用系统,来初步确定应用对芯片功能和性能指标的要求,以及哪些功能可以集成,哪些功能只能外部实现,芯片工艺及工艺平台的选择,芯片管脚数量,封装形式等等,达到整个应用系统的成本低性能高,达到最优的性价比。 之后,进入系统开发和原型验证阶段。根据芯片的框架结构,采用分立元件设计电路板,数字系统一般用FPGA开发平台进行原型开发和测试验证(常见的FPGA有XILINX和ALTERA 两个品牌,我公司用的是XILINX)。 模拟芯片的设计,验证手段主要是根据工艺厂提供的参数模型来仿真,最终能达到的性能指标只能通过真实的投片,进行验证设计;而数字系统设计一般可通过计算机仿真和FPGA 系统,进行充分的设计验证,然后可以直接投片。因此数模混合的芯片产品开发,一般需要模拟模块先投片验证,性能指标测试通过后,然后再进行整体投片。 系统开发和原型验证通过后,就进入芯片版图的设计实现阶段,就是数字后端、与模拟版图拼接。版图设计过程中,要进行设计验证,包括DRC、LVS、ANT、后仿真等等。芯片版图通过各种仿真验证后就可以生成GDS文件,发给代工厂(或者制版厂),就是常说的tapeout 了。 代工厂数据处理,拿到GDS数据后,需要再次进行DRC检查,然后数据处理,版层运算,填充测试图形等操作,之后发给制版厂开始制版。 制版完成后,光刻版交给代工厂就可以进行圆片加工了。 圆片加工完成后,送至中测厂进行中测,也叫晶圆测试(Chip Test,简称CP)。中测完成,圆片上打点标记失效的管芯,交给封装厂。 封装厂进行圆片减薄、贴膜、划片、粘片、打线、注塑、切金、烘干、镀锡等等操作后,封装完成。目前封装技术比较成熟,常见封装良率在99.5%以上,甚至99.9%以上。 芯片有些功能和性能在中测时无法检验的,需要进行成测(Final Test,简称FT)。 成测完成的芯片,即可入产品库,转入市场销售了。 芯片的研发过程,是一个多次循环迭代的过程。测试验证过程中发现问题,就需要返回修改设计,然后再次测试验证;后端版图实现过程中,如果时序、功耗、面积、后仿真等通不过,也可能要返回原始设计进行修改;芯片投片出来后,测试性能指标和可靠性达不到设计要求,需要分析定位问题,修改设计,再次投片验证,等等。 芯片研发环节多,投入大,周期长。任何一个细节考虑不到或者出错,都有可能导致投片失败;技术研发充满了不确定性,可能导致时间拖延及投片失败。因此,一个成熟产品的研发,可能需要多次的投片验证,导致周期很长。 现在芯片设计的规模比较大,系统复杂,为了减小投片风险,系统设计和测试验证的工作十分重要,一方面依靠强大的EDA工具,另一方面依靠经验和人员时间投入。 芯片转入量产后,如果成品率不稳定或低于预期,需要与代工厂分析原因,进行工艺参数调整,多次实验后,找到最稳定的工艺窗口,提高芯片的可靠性和良率,降低成本。

2019年集成电路设计行业发展概况

2019年集成电路设计行业发展概况 (1)集成电路行业 集成电路(Integrated Circuit, IC)是指经过特种电路设计,利用集成电路加工工艺,集成于一小块半导体(如硅、锗等)晶片上的一组微型电子电路。集成电路具有体积小、重量轻、寿命长、可靠性高、性能好、成本低、便于大规模生产等优点,不仅在工、民用电子设备如智能手机、电视机、计算机、汽车等方面得到广泛的应用,同时在军事、通讯、遥控等方面也不可或缺。 集成电路按应用领域的不同大致分为标准通用集成电路和专用集成电路。其中,标准通用集成电路是指应用领域比较广泛、标准型的通用电路,如存储器、微处理器(MPU)及微控制器(MCU)等;专用集成电路是指为某一领域或某一专门用途而设计的电路,如智能终端芯片、网络通信芯片、数模混合芯片、信息安全芯片、数字电视芯片、射频识别芯片(RFID)、传感器芯片等。 集成电路产业是国民经济中基础性、关键性和战略性的产业,是“中国制造2025”强国战略、国家创新驱动发展战略的重点发展领域。作为现代信息产业的基础和核心产业之一,在保障国家安全等方面发挥着重要的作用,是衡量一个国家或地区现代化程度以及综合国力的重要标志。集成电路一直以来占据半导体产品80%的销售额,业务规模远远超过半导体中分立器件、光电子器件和传感器三大细分领域,长期以来占据着行业大部分市场规模,具备广阔的市场空间,近年来呈现出快速增长的态势。

国内集成电路行业在需求、政策的驱动下迅速扩张。根据中国半导体行业协会统计,2018年中国集成电路行业销售额达到6,532亿元,同比增长20.7%,2014年至2018年的复合年均增长率达21.3%。需求方面,高速发展的计算机、网络通信、消费电子构成了国内集成电路行业下游应用领域的主要部分。在工业市场,传统产业的转型升级,大型、复杂化的自动化、智能化工业设备出现,加速了芯片需求的提升;在消费类市场,智能手机、平板电脑等消费类电子的需求带动相关芯片行业爆发式增长;此外,汽车电子、智能家居场景等拓展了芯片的应用领域。政策方面,政府先后出台了一系列针对集成电路行业的法律法规和产业政策规范行业发展秩序,同时通过企业投资、设立行业投资基金的形式为行业发展提供资本帮助,推动了该行业的发展壮大。

集成电路设计基础

集成电路设计基础复习提纲 一EDA常用命令 ls 显示当前目录下的文件和路径。Pwd显示当前文件的绝对路径.。Cd进入指定目录。More显示文件内容。Cp拷贝。Mkdir创建目录。tar 打包。zip压缩。unzip解压。ftp传送文件。 二基本概念 1版图设计 CIW命令解释窗口, Library 库,Reference Library相关库, Library Path库路径,Cell单元,View视图,Techfiler.tf工艺文件, cds.lib库管理文件, techfile.cds ASCII 文件,LSW图层选择窗口,display.drf图层显示文件。LayerPurpose Pair层次用途配对,Cellview Attributes and Properties单元视图属性,Instance单元,Snap Mode 光标按钮画线条或图形的模型。Stream。数据流(一个标准数据格式用在cad系统间传递物理设计数据) parameterized cells,参数化单元。Flatten,打平 设计方法 1 CIC设计流程 ①设计规划。②建库。③原理图输入。④电路仿真。⑤单元模块版图。⑥TOP 版图。⑦验证。⑧输出GDSII。⑨制掩膜。⑩流片封装测试。 2CIC建库的步骤,工艺文件和显示文件的使用。 建库进入设计项目所在的文件夹,打开名利窗口输入icfb,在ciw菜单栏中选择file-creat-creat new library,选择要连接的Techfiler.tf或者选择相应库作为链接库,后根据指示完成余下的操作 工艺文件p1-40说明图层连接,等效连接,不可被重叠,自动布线,设计规则等情况 ciw-technology-file-dump ,design,layout definations,ascll 命名.Tf,ok;/techpurposes /techlayers;/techdisplays;/techlayerpurposepriorities(图层目的优先);:q!(保存退出):wq!(写后保存退出);/ptap File-load 显示文件的使用:在显示资源编辑窗口里编辑并保存(display。drf)长期有效 添加新包,先编辑显示文件再在显示资源编辑窗口里编辑其填充等;file—save;tools-display resources-mergefile;分配图层目的配对。 3单元版图绘图方法及编辑基本方法, 新建,根据设计要求选择图层用不同的绘图命令绘制和按参数编辑、连接,测试4绘图及编辑常用命令的使用: Create— Rectangle 。create-rectangle left点拉升点 Instance、create-instance(名字不可改)填写库cell view 坐标等 Path、create-path 1点2点+回车/双击 Pcell、edit-hierarchy(分层)-make cell 填写,画长方形区域,ok Polygon、create- Polygon(F3),选择图层,点,点等,回车 Conics create-arc,点,点,点回车

PHY芯片介绍讲解

问:如何实现单片以太网微控制器? 答:诀窍是将微控制器、以太网媒体接入控制器(MAC)和物理接口收发器(PHY)整合进同一芯片,这样能去掉许多外接元器件.这种方案可使MAC和PHY实现很好的匹配,同时还可减小引脚数、缩小芯片面积.单片以太网微控制器还降低了功耗,特别是在采用掉电模式的情况下. 问:以太网MAC是什么? 答:MAC即Media Access Control,即媒体访问控制子层协议.该协议位于OSI七层协议中数据链路层的下半部分,主要负责控制与连接物理层的物理介质.在发送数据的时候,MAC协议可以事先判断是否可以发送数据,如果可以发送将给数据加上一些控制信息,最终将数据以及控制信息以规定的格式发送到物理层;在接收数据的时候,MAC协议首先判断输入的信息并是否发生传输错误,如果没有错误,则去掉控制信息发送至LLC层.该层协议是以太网MAC由IEEE-802.3以太网标准定义.最新的MAC同时支持10Mbps和100Mbps两种速率. 以太网数据链路层其实包含MAC(介质访问控制)子层和LLC(逻辑链路控制)子层.一块以太网卡MAC芯片的作用不但要实现MAC子层和LLC子层的功能,还要提供符合规范的PCI界面以实现和主机的数据交换. MAC从PCI总线收到IP数据包(或者其他网络层协议的数据包)后,将之拆分并重新打包成最大1518Byte,最小64Byte的帧.这个帧里面包括了目标MAC地址、自己的源MAC地址和数据包里面的协议类型(比如IP数据包的类型用80表示).最后还有一个DWORD(4Byte)的CRC码. 可是目标的MAC地址是哪里来的呢?这牵扯到一个ARP协议(介乎于网络层和数据链路层的一个协议).第一次传送某个目的IP地址的数据的时候,先会发出一个ARP包,其MAC的目标地址是广播地址,里面说到:”谁是xxx.xxx.xxx.xxx这个IP地址的主人?”因为是广播包,所有这个局域网的主机都收到了这个ARP请求.收到请求的主机将这个IP地址和自己的相比较,如果不相同就不予理会,如果相同就发出ARP响应包.这个IP地址的主机收到这个ARP请求包后回复的ARP响应里说到:”我是这个IP地址的主人”.这个包里面就包括了他的MAC地址.以后的给这个IP地址的帧的目标MAC地址就被确定了.(其它的协议如IPX/SPX也有相应的协议完成这些操作.) IP地址和MAC地址之间的关联关系保存在主机系统里面,叫做ARP表,由驱动程序和操作系统完成.在Microsoft的系统里面可以用 arp-a的命令查看ARP表.收到数据帧的时候也是一样,做完CRC以后,如果没有CRC效验错误,就把帧头去掉,把数据包拿出来通过标准的借口传递给驱动和上层的协议客栈,最终正确的达到我们的应用程序. 还有一些控制帧,例如流控帧也需要MAC直接识别并执行相应的行为. 以太网MAC芯片的一端接计算机PCI总线,另外一端就接到PHY芯片上,它们之间是通过MII接口链接的. 问:什么是MII? 答:MII即媒体独立接口,它是IEEE-802.3定义的以太网行业标准."媒体独立"表明在不对MAC硬件重新设计或替换的情况下,任何类型的PHY设备都可以正常工作.它包括一个数据接口,以及一个MAC和PHY之间的管理接口. ?数据接口包括分别用于发送器和接收器的两条独立信道.每条信道都有自己的数据,时钟和控制信号.MII数据接口总共需要16个信号,包括TX_ER,TXD<3:0>,TX_EN,TX_CLK, COL,RXD<3:0>,RX_EX,RX_CLK,CRS,RX_DV等.MII以4位半字节方式传送数据双向传输,时钟速率25MHz.其工作速率可达100Mb/s; ?MII管理接口是个双信号接口,一个是时钟信号,另一个是数据信号.通过管理接口,上层能监视和控制PHY.其管理是使用SMI(Serial Management Interface)总线通过读写PHY的寄存器来完成的.PHY里面的部分寄存器是IEEE定义的,这样PHY把自己的目前的状态反映到寄存器里面,MAC通过SMI总线不断的读取PHY的状态寄存器以得知目前PHY的状态,例如连接速度,双工的能力等.当然也可以通过SMI设置PHY的寄存器达到控制的目的,例如流控的打开关闭,自协商模式还是强制模式等.不论是物理连接的MII总线和SMI总线还是PHY的状态寄存器和控制寄存器都是有IEEE的规范的,因此不同公司的MAC和PHY一样可以协调工作.当然为了配合不同公司的PHY的自己特有的一些功能,驱动需要做相应的修改.

模拟IC设计流程

IC模拟IC设计流程 对于模拟Asic而言,在进行设计时是不能使用verilog或者其他的语言对行为进行描述,目前已知的可以对模拟电路进行描述的语言大部分都是针对比较底层的针对管级网表的语言,比如在软件hspice和hsim所使用的面向管级网表连接关系的语言——spice。因此如果使用语言对电路进行描述的话,在遇到比较大型的电路时使用门级或者管级网表就比较麻烦。所以,一般在进行模拟电路设计的时候可以使用图形化的方法来对模拟电路进行设计。比较常用的工具有Cadence公司的Virtuso、Laker、Epd(workview),其中Cadence自带有仿真器spectra可以实现从电路图输入到电路原理图仿真,以及根据电路图得到版图并且可以利用cadence的其他工具插件实现完整的版图验证,从而完成整个模拟电路芯片的设计流程。但是对于Laker和Epd而言,这些软件所能完成的工作只是利用foundry模拟库中基本单元构建模拟电路图,所得到的只是模拟电路的网表,而不能对该模拟电路进行仿真,因此一般在使用laker或者EPD的时候都需要将得到的模拟电路转化为网表的形式,利用第三方的仿真软件进行仿真,比如使用hsim、hspice或者pspice对得到的网表进行仿真。然后再使用第三方的版图软件进行版图设计和DRC、ERC、LVS检查,所以从设计的方便性上讲使用Cadence的全系列设计软件进行模拟电路设计是最为方便的。 在得到模拟电路的版图后就可以根据版图提取寄生参数了,寄生参数的提取方法和前面所讲的数字电路的版图参数提取是完全相同的,利用提取得到的寄生参数就可以得到互联线所对应的延迟并且将该延迟或者是RC参数反标回模拟电路图中去,从而得到更符合实际版图情况的电路图。对该电路图仿真就可以完成后仿真,得到更符合实际芯片工作情况的信号波形。

图形芯片设计全过程

图形芯片设计全过程 人类对视觉信号天生的敏感决定了对图形处理硬件性能的渴求成了现阶段硬件产业最炙手可热的话题。与满足听觉的音频设备相比,现在的图形处理技术水平给图形处理还留有很大的发展空间,要实现电影级别的实时三维渲染效果还有很长一段路要走。这就决定了这个产业的竞争充满了变数,在技术开发和市场推广策略上稍有不慎就会别别人赶超。为了应付激烈的行业竞争,图形处理芯片产业的各个厂商为了作为一种ASIC(Application Specif ic Integrated Circuit)特定用途集成电路已经衍生出特定运作的策略,产品研发程序,以保持自身在整个产业的竞争力水平。现将图形芯片设计研发的全过程介绍给大家,以供大家学习,事实上现在绝大多数的图形芯片设计厂商都是依照这个程序来进行新品研发的。 确定研发方案和硬件语言描述 与任何一个靠生产产品谋求发展的企业一样,设计推出一款新的GPU 的第一步理所当然的是市场的调研和产品的开发规划。在这段时间内,未来产品的相关定位,主要占领的市场范围等话题都被提到桌面上讨论,这些问题讨论的结果最终将决定产品最终的研发方案的大体内容:研发成本,研发周期以及开发过程中需要的资源等等。 接下来就要在研发方案确定的大方向的技术上研究从生产工艺,芯片代工等具体的细节问题进行商议。在成本的限制范围内决定诸如集成晶体管数量等物理参数;紧接着就要在符合生产工艺的芯片代工厂中做出选择了,决定这个的因素很多,当然第一点是能提供生产芯片要求的工艺水平,比如0.15微米,0.13微米,甚至90纳米,其次是代工厂的产品质量和价格因素。当然很多时候芯片在设计的时候就计划使用比较超前的工艺,保证选择的代工厂(即芯片生产的公司比如TSMC )在芯片设计完成开始投片的时候完成相关工艺改造是十分重要的,如果你在这一点上面做出错误的判断,那对公司造成的损失是巨大的,因为图形芯片行业是一个最求速度的产业,Nv30被芯片代工厂拖累,眼看着ATI在高端大量出货也是没辙。因为在生产工艺已经决定的情况下,如果要在回过头来修订成别的工艺指标,那进行的工作又会持续几个月,其中的工作量不比重新一块芯片要少多少!当这一切前期环节确定以后,就开始我们这篇文章最主要的部分了,显示芯片构架的设计。一个设计团队被组织起来定义GPU 支持的技术特征并且制定整个设计工作的日程表(比如团队1在三周内完成反锯齿单元的设计)。在我们深入介绍芯片的设计过程之前,我们先来了解一下现在芯片制造公司一般的设计流程。 现在,芯片构架的设计一般是通过专门的硬件设计语言Hardware Description Langua ges (HDL)来完成,所谓硬件设计语言( HDL)顾名思义,是一种用来描述硬件工作过程的语言。现在被使用的比较多的有 Verilog 、 VHDL。这些语言写成的代码能够用专门的合成器生成逻辑门电路的连线表和布局图,这些都是将来发给芯片代工厂的主要生产依据。对于硬

IC设计流程

大体是 1. 首先是使用HDL语言进行电路描述,写出可综合的代码。然后用仿真工具作前仿真, 对理想状况下的功能进行验证。这一步可以使用Vhdl或Verilog作为工作语言,EDA工具 方面就我所知可以用Synopsys的VSS(for Vhdl)、VCS(for Verilog)Cadence的工具也就是著名的Verilog-XL和NC Verilog 2.前仿真通过以后,可以把代码拿去综合,把语言描述转化成电路网表,并进行逻辑和 时序电路的优化。在这一步通过综合器可以引入门延时,关键要看使用了什么工艺的库 这一步的输出文件可以有多种格式,常用的有EDIF格式。 综合工具Synopsys的Design Compiler,Cadence的Ambit 3,综合后的输出文件,可以拿去做layout,将电路fit到可编程的片子里或者布到硅片上 这要看你是做单元库的还是全定制的。 全定制的话,专门有版图工程师帮你画版图,Cadence的工具是layout editor 单元库的话,下面一步就是自动布局布线,auto place & route,简称apr cadence的工具是Silicon Ensembler,Avanti的是Apollo layout出来以后就要进行extract,只知道用Avanti的Star_rcxt,然后做后仿真 如果后仿真不通过的话,只能iteration,就是回过头去改。 4,接下来就是做DRC,ERC,LVS了,如果没有什么问题的话,就tape out GDSII 格式的文件 送制版厂做掩膜板,制作完毕上流水线流片,然后就看是不是work了 做DRC,ERC,LVSAvanti的是Hercules,Venus,其它公司的你们补充好了 btw:后仿真之前的输出文件忘记说了,应该是带有完整的延时信息的设计文件如:*.VHO,*.sdf RTL->SIM->DC->SIM-->PT-->DC---ASTRO--->PT----DRC,LVS--->TAPE OUT 1。PT后一般也要做动态仿真,原因:异步路径PT是做不了的 2。综合后加一个形式验证,验证综合前后网表与RTL的一致性 3。布版完成后一般都会有ECO,目的手工修改小的错误 SPEC->ARCHITECTURE->RTL->SIM->DC->SIM-->PT-->DC---ASTRO--->PT----DRC, LVS--->TAPE OUT SPEC:specification,在进行IC设计之前,首先需要对本IC的功能有一个基本的定义。 ARCHITECTURE:IC的系统架构,包括算法的设计,算法到电路的具体映射,电路的具体实现方法,如总线结构、流水方式等。 在IC前端的设计中,ARCHITECTURE才是精华,其他的大部分都是EDA工具的使用,技术含量不高。

ChipDesign[推荐]深入大规模芯片设计全过程

梦幻之旅--深入大规模芯片设计全过程 编者按 原文由小熊在线最先发表,介绍了navida公司设计图象处理芯片(GPU)的全过程,本站对文章中一些专业内容进行了修改和补充,让大家可以对大规模芯片设计的过程,以及FPGA在IC设计中的作用,有一个形象的了解。 前言 人类对视觉信号天生的敏感决定了对图形处理硬件性能的渴求成了现阶段硬件产业最炙手可热的话题。与满足听觉的音频设备相比,现在的图形处理技术水平给图形处理还留有很大的发展空间,这就决定了这个产业的竞争充满了变数,在技术开发和市场推广策略上稍有不慎就会别别人赶超。为了应付激烈的行业竞争,设计出更高性能的图形处理芯片已经成为各个厂商保持自身竞争力水平最重要的手段。今天我就来大家做一次特殊的旅行,了解图形芯片设计研发的全过程,事实上现在绝大多数的芯片设计厂商都是依照这个程序来进行新品研发的。 确定研发方案和硬件语言描述 与任何一个靠生产产品谋求发展的企业一样,设计推出一款新的 GPU 的第一步理所当然的是市场的调研和产品的开发规划。在这段时间内,未来产品的相关定位,主要占领的市场范围等话题都被提到桌面上讨论,这些问题讨论的结果最终将决定产品最终的研发方案的大体内容:研发成本,研发周期以及开发过程中需要的资源等等。 接下来就要在研发方案确定的大方向的技术上研究从生产工艺,芯片代工等具体的细节问题进行商议。在成本的限制范围内决定诸如集成晶体管数量等物理参数;紧接着就要在符合生产工艺的芯片代工厂中做出选择了,决定这个的因素很多,当然第一点是能提供生产芯片要求的工艺水平,比如0.15微米,0.13微米,甚至90纳米,其次是代工厂的产品质量和价格因素。当然很多时候芯片在设计的时候就计划使用比较超前的工艺,保证选择的代工厂(即芯片生产的公司比如TSMC )在芯片设计完成开始投片的时候完成相关工艺改造是十分重要的,如果你在这一点上面做出错误的判断,那对公司造成的损失是巨大的,因为图形芯片行业是一个最求速度的产业,在生产工艺已经决定的情况下,如果要在回过头来修订工艺指标,那进行的工作又会持续几个月,其中的工作量不比重新一块芯片要少多少! 当这一切前期环节确定以后,就开始我们这篇文章最主要的部分了,显示芯片构架的设计。一个设计团队被组织起来定义GPU 支持的技术特征并且制定整个设计工作的日程表(比如团队1在三周内完成反锯齿单元的设计)。 在我们深入介绍芯片的设计过程之前,我们先来了解一下现在芯片制造公司一般的设计流程。现在,芯片构架的设计一般是通过专门的硬件设计语言Hardware Description Languages (HDL)来完成,所谓硬件设计语言( HDL)顾名思义,是一种用来描述硬件工作过程的语言。现在被使用的比较多的有 Verilog 、 VHDL。这些语言写成的代码能够用专门的合成器生成逻辑门电路的连线表和布局图,这些都是将来发给芯片代工厂的主要生产依据。对于硬件设计语言( HDL)一般的人都基本上不会接触到,我们在这里只给大家简略的介绍一下:在程序代码的形式上HDL

IC设计软件介绍

IC设计软件介绍 2008-07-19 13:41 IC设计工具很多,其中按市场所占份额排行为Cadence、Mentor Graphics 和Synopsys。这三家都是ASIC设计领域相当有名的软件供应商。其它公司的软件相对来说使用者较少。中国华大公司也提供ASIC设计软件(熊猫2000);另外近来出名的Avanti公司,是原来在Cadence的几个华人工程师创立的,他们的设计工具可以全面和Cadence公司的工具相抗衡,非常适用于深亚微米的IC 设计。下出按用途对IC设计软件作一些介绍。 (1)设计输入工具这是任何一种EDA软件必须具备的基本功能。像Cadence 的composer,viewlogic的viewdraw,硬件描述语言VHDL、Verilog HDL是主要设计语言,许多设计输入工具都支持HDL。另外像Active—HDL和其它的设计输入方法,包括原理和状态机输入方法,设计FPGA/CPLD的工具大都可作为IC 设计的输入手段,如Xilinx、Altera等公司提供的开发工具,Modelsim FPGA 等。 (2)设计仿真工作我们使用EDA工具的一个最大好处是可以验证设计是否正确,几乎每个公司的EDA 产品都有仿真工具。Verilog—XL、NC—verilog用于Verilog仿真,Leapfrog用于VHDL仿真,Analog Artist用于模拟电路仿真。Viewlogic的仿真器有:viewsim门级电路仿真器,speedwaveVHDL仿真器,VCS—verilog仿真器。Mentor Graphics有其子公司Model Tech 出品的VHDL 和Verilog双仿真器:Model Sim。Cadence、Synopsys用的是VSS(VHDL仿真器)。现在的趋势是各大EDA公司都逐渐用HDL仿真器作为电路验证的工具。(3)综合工具综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile是作综合的工业标准,它还有另外一个产品叫Behavior Compiler,可以提供更高级的综合。另外最近美国又出了一家软件叫Ambit,说是比Synopsys的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit被Cadence公司收购,为此Cadence放弃了它原来的综合软件Synergy。随着FPGA设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Synopsys的FPGA Express,Cadence的Synplity,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 (4)布局和布线在IC设计的布局布线工具中,Cadence软件是比较强的,它有很多产品,用于标准单元、门阵列已可实现交互布线。最有名的是Cadence spectra,它原来是用于PCB布线的,后来Cadence把它用来作IC的布线。其主要工具有:Cell3,Silicon Ensemble—标准单元布线器;Gate Ensemble—门阵列布线器;Design Planner—布局工具。其它各EDA软件开发公司也提供各自的布局布线工具。 (5)物理验证工具物理验证工具包括版图设计工具、版图验证工具、版图提取工具等等。这方面Cadence也是很强的,其Dracula、Virtuso、Vampire等物理工具有很多的使用者。 (6)模拟电路仿真器前面讲的仿真器主要是针对数字电路的,对于模拟电路的仿真工具,普遍使用SPICE,这是唯一的选择。只不过是选择不同公司的SPICE,像MiceoSim的PSPICE、Meta Soft的HSPICE等等。HSPICE现在被Avanti公司收购了。在众多的SPICE中,最好最准的当数HSPICE,作为IC设计,它的模型最多,仿真的精度也最高。

相关文档
最新文档