数字逻辑第四章课后答案

数字逻辑第四章课后答案
数字逻辑第四章课后答案

习题4解答

4-1

试用与非门设计实现函数F(A,B,C,D)=Σm(0,2,5,8,11,13,15)的组合逻辑电路。

解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。

化简后的函数

4-2

试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。

解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。

真值表:逻辑函数表达式:

C

B

A

C

B

A

C

B

A

C

B

A

Y?

?

+

?

?

+

?

?

+

?

?

=

C

B

A⊕

=)

(

ACD

D

C

B

D

B

A

D

C

B

ACD

D

C

B

D

B

A

D

C

B

ACD

D

C

B

D

B

A

D

C

B

F

?

?

?

?

?

?

?

=

+

+

?

?

+

?

?

=

+

+

?

?

+

?

?

=

逻辑图

B

A

C

D

F

4-3

用与非门设计四变量多数表决电路。当输入变量A 、B 、C 、D 有三个或三个以上为1时输出为1,输入为其他状态时输出为0。

解:

真值表: 先用卡诺图化简,然后变换成与非-与非表达式:

逻辑函数表达式:

4-4

用门电路设计一个代码转换电路,输入为4位二进制代码,输出为

4位循环码。

解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。

ACD

BCD ABC ABD ACD BCD ABC ABD ACD BCD ABC ABD Y ???=+++=+++=逻辑图

真值表: 卡诺图化简:

化简后的逻辑函数:

Y 1的卡诺图

Y 2的卡诺图 Y 3的卡诺图 Y 4的卡诺图

A

Y =1B

A B A B A Y ⊕=+=2C

B C B C B Y ⊕=+=3D

C D C D C Y ⊕=+=4Y Y 逻辑图

4-5

图4.48所示是一个由两台水泵向水池供水的系统。水池中安置了A 、B 、C 三个水位传感器。当水池水位低于C 点时,两台水泵同时供水。当水池水位低于B 点且高于C 点时,由水泵M1单独供水。当水池水位低于A 点且高于B 点时,由水泵M2单独供水。当水池水位高于A 点时,两台水泵都停止供水。试设计一个水泵控制电路。要求电路尽可能简单。

图4.48 习题4-5的示意图

解:设水位低于传感器时,水位传感器的输出为1,水位高于传感器时,水位传感器的输出为0。

首先根据所给问题列出真值表。其中有几种情况是不可能出现的,用约束项表示。

如果利用约束项化简 如果不利用约束项化简

(a) 用约束项化简 (b) 不用约束项化简

M 1的卡诺图 M 2的卡诺图

B M =1B

A C M +=2AB

M =1C

B A AB

C M ?+=2B

)

(C B A ⊕=逻辑图

习题4-5的逻辑图

4-6

试用3线-8线译码器74HC138和门电路实现如下多输出逻辑函数并画出逻辑图。

解:先将逻辑函数变换成最小项之和的形式 再变换成与74HC138一致的形式

令74HC138的A 2= A ,A 1=B ,A 0= C ,

4-7

试用3线-8线译码器74HC138和逻辑门设计一组合电路。该电路输入X ,输出Y 均为3位二进制数。二者之间关系如下: 当2≤X <7时, Y=X -2

X<2时, Y=1 X =7时, Y=6

解:首先根据所给问题列出真值表。 C

B A

C AB C B A BC A Y ?++??+=2C B A C B A BC A C B A C A B A C B A Y ?+++=++=1C

B A

C B A BC A ABC AC B A Y +++=+=3

1

2351m m m m C B A C B A BC A C B A Y ???=?+++=2

3472

m m m m C B A ABC C B A BC A Y ???=?++??+=2

3573m m m m C B A C B A BC A ABC Y ???=+++=0

74m m C B A ABC Y ?=??+=)(1C B A C B A Y ++=B

A C A Y +=2C

B A AB

C Y ??+=4)

)((3C A B A Y ++=逻辑图

逻辑图

Y 2 Y 1 Y 0

逻辑函数:

4-8 试用4选1数据选择器产生逻辑函数

解:将逻辑函数变换成最小项之和的形式 若用输入变量AB 作为地址,C 作为数据输入,则

即A 1=A ,A 0=B ,D 0= D 2= ,D 1=1,D 3=C 。逻辑图如下图(a)所示。

若用输入变量AC 作为地址, B 作为数据输入,则

即A 1=A ,A 0=C ,D 0=1, D 2= ,D 1=D 3= B 。逻辑图如下图(b)所示。

(a) AB 作为地址 (b) AC 作为地址

4-9

分析图4.49所示电路,写出输出Y 的逻辑函数式并化简。

C

D BC

A ABC C

B A

C B A C B A Y ++??++?=BC

C A C B A Y +?+??=ABC

C B

A B A C B A Y +??+?+?=1C CB

A B AC C

A B C A Y +?+??+?=1B Y

7547541m m m m m m Y ??=++=531053100m m m m m m m m Y ???=+++=76762m m m m Y ?=+=

图4.49 习题4-9的电路

解:8选1数据选择器 C =A 2,B=A 1,A =A 0,

D 7= D 3 =0,D 2=1,D 5=D 4= D 1= D 0=D ,D 6= , 逻辑函数

卡诺图化简

化简后的逻辑函数

4-10 试用8选1数据选择器产生逻辑函数

解:

令A=A 2,B=A 1,C=A 0,D 7= D 5= D 2= D 1=1,D 6= D 4= D 3= D 0=0,

4-11 试用3线-8线译码器74HC138和最少数量的二输入逻辑门设计一个不一致电路。当A 、B 、C 三个输入不一致时,输出为1,三个输入一致时,输出为0。

C

B A

C B A AC Y ?++=C

B A

C B A C B A ABC C B A C B A AC Y ?+++=?++=

B C

D A

B C D A B C D A B C A B DC A B DC A CB D Y ??+?++?++=

A

C D B D A B D Y ?++=逻辑图

解:首先根据所给问题列出真值表。 真值表:

如果直接按照真值表写出逻辑函数表达式,很难用二输入逻辑门实现。但是,观察真值表不难发现,真值表中只有两行的Y 为0,因此,按照真值表写出反函数表达式,应该容易用二输入逻辑门实现。

逻辑函数表达式:

题目要求用3线-8线译码器74HC138实现,而74HC138的每个输出对应一个最小项的反,因此,还必须把逻辑函数式变换成与74HC138的逻辑函数相同的形式。

ABC

C B A Y Y +??==

7

0m m ABC C B A ABC C B A Y ?=???=+??=ABC C B A Y +??=逻辑图

4-12

试用8选1数据选择器产生逻辑函数

解:

如果用ABC 作为数据选择器的地址(A=A 2,B=A 1,C=A 0),D 作为数据,则函数变换成

D 7= D 6=D 3 =1, D 5= D 0=0, D 4= D 1=D , D 2= ,

如果用BCD 作为数据选择器的地址(B=A 2,C=A 1,D=A 0),A 作为数据,

则函数变换成

D 7= D 6= D 4=1,D 2= D 0=0,

D 5= D 1=A , D 3 = 4-13

根据表4.23所示的功能表设计一个函数发生器电路,用8选1数据选择器实现。

表4.23 习题4-13的功能表

D

C B BC ABC

D CD B A D C A Y ?+++?+=D C B A D C AB D BC A BCD A D ABC ABCD CD B A D C B A D C AB D

C B BC ABC

D CD B A D C A Y ?+?+++++?+?+=?+++?+=D C B A C AB BC A ABC CD B A D C B A Y ?+?+?+?+?+?=111D D

C B

D BC BCD CD B A D C B A D C AB Y ??+?+?+?+?+=111A D

C

A

解:首先根据所给问题列出真值表。

真值表: 卡诺图化简

化简后 用S 1AB 作为地址,S 0作为数据输入,即S 1=A 2,A= A 1,B = A 0。函数变换为

D 6= D 5= D 3 = 1,D 1=D 2= D 4=0,D 7= D 0= ,因此,画出逻辑图如下:

B

A S S

B A S B A S AB S S AB S Y ???++++=0111011

AB

S S B A S B A S AB S B A S S B

A S S

B A S B A S AB S S AB S Y 10111100111011111?+?+?+?+???=???++++=0S B

A 1

S 0

S 逻辑图

4-14 图4.50所示是由3线8线译码器74HC138和8选1数据选择器构成的电路。试分析

① 当数据C 2C 1C 0= D 2D 1D 0时,输出F=? ② 当数据C 2C 1C 0≠D 2D 1D 0时,输出F=?

图4.50 习题4-14的电路

解:

① 当数据C 2C 1C 0= D 2D 1D 0时,输出F=0 ② 当数据C 2C 1C 0≠D 2D 1D 0时,输出F=1

这个电路可以检验数据C 2C 1C 0与 D 2D 1D 0是否相同。 4-15

设计用3个开关控制一个电灯的逻辑电路,要求改变任何一个开关的状态都能控制电灯由亮变灭或者由灭变亮。用数据选择器实现。

解:用A 、B 、C 分别表示3个开关的状态,Z=1表示电灯亮,Z=0表示电灯灭。令ABC=000时的状态Z=0。(注:此处先用格雷码写出变化表比较容易得真值表,初始状态也很重要)

真值表: 逻辑函数表达式:

1221F

C

B A

C B A C B A C B A Z ??+??+??+??=

3个变量,可以用4选1数据选择器实现。 若用输入变量AB 作为地址, C 作为数据输入,

即A 1=A ,A 0=B ,D 0= D 3=C ,D 1=D 2= 。

4-16

试用逻辑门设计一个带控制端的半加/半减器,控制端X=1时为半加器,X=0时为半减器。

解:根据所给问题列出真值表。A 、B 为加/减的两个数。做加法运算时,S 为半加/半减的和/首先差的输出,C O 为进位输出。做减法运算时,S 为差的输出,C O 为借位输出。

半加器的功能是S=A+B 。半减器的功能是S=A -B 。 真值表:

逻辑函数不能化简,但是可以变换成异或表达式。

按照变换后的逻辑函数画逻辑图。

4-17

试用3线-8线译码器74HC138和门电路设计一个1位二进制全减器电路。输入是被减数、减数和来自低位的借位;输出是两数之差和向高位的借位信号。

解:全减器的功能是S i =A i -B i -C i 。首先根据所给问题列出真值表。 真值表: 逻辑函数:

)

()(B A X B A X

B XA B A X B A X B A X S ⊕+⊕=+++?=B A ⊕=XAB

B A X

C O +?=B

A X )(⊕=C i

i

i i i i

i i

i i

i i i

C

B A

C B A C B A C B A S +?++?=逻辑图

把逻辑函数式变换成与74HC138的逻辑函数相同的形式:

4-18

试用4位数据比较器CC14585设计一个判别电路。若输入的数据代码D 3D 2D 1D 0>1001时,判别电路输出为1,否则输出为0。

解:从CC14585的一个端口输入数据D 3D 2D 1D 0,另一个端口输入1001。 CC14585的扩展输入端I A>B 和I A=B 必须接高电平,I A

4-19 试根据表 4.24的功能表,用逻辑门设计一个数据分配器(Demultiplexer )。A 1、A 0为地址输入,D 为数据输入,W 3、W 2、W 1、W 0为数据输出。数据分配器的功能正好与数据选择器相反,是按照所给的地址把一个输入数据从N 个输出通路中选择一个输出,如图4.51所示。

表4.24 习题4-19的功能表

i

i i i i i i i i i i i O C B A C B A C B A C B A C +++?=7

4

2

1

7

421m m m m m m m m C B A C B A C B A C B A S i

i i i i i i i i i i i i ???=+++=+?++?=7

3217321m m m m m m m m C B A C B A C B A C B A C i i i i i i i i i i i i O ???=+++=+++?=

S i

C O

逻辑图

逻辑图

图4.51 数据分配器

解:表4.24的功能表可以简化为

逻辑函数

4-20

试比较图4.52所示两个逻辑电路的功能。

10

3120

2

C

D A A W ??=010D

A A W ?=011D

A A W ?=012D

A A W ?=013W W W W A D

A 逻辑图

图4.52 习题4-20的电路

解:根据图4.52写出逻辑函数式。

8选1 数据选择器的连接关系是:

D 6= D 7= D ,D 1=0,D 2= 1,D 5= D 4= D 3= D 0= ,A 2=A ,A 1= B ,A 0= C ,所以,

比较F I 和F 2的,可看出,两个电路的逻辑函数相同,所以逻辑功能也相同。

4-21

用VHDL 设计一个代码转换电路,输入为4位循环码,输出为4位二进制代码。

解:首先画出代码转换电路的系统框图,如

根据所给问题列出真值表。 G 3 G 2 G 1 G 0 B 3 B 2 B 1 B 0 0 0 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 1 1 0 0 1 1 1 0 1 0 1 0 1 0 0

0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1

D

C B A

D C B A D C B A D BC A D C B A D C B A D C AB ABCD D C B A D C B A D C B A D BC A D C B A D C B A D C AB ABCD m m m m m m m m F ???+?+++??+++=?????????????=???????=0

45681013151D

C B A

D C B A D C B A D BC A D C B A D C B A D C AB ABCD D

C B A C B A

D BC A D C B A D C B A D C AB ABCD D C B A C B A D BC A D C B A D C B A D C AB D ABC F ???+?+++??+++=???+++??+++=???+?+?+??+?+?+?=03456721D

1 1 0 0 1 1 0 1 1 1 1 1 1 1 1 0 1 0 1 0 1 0 1 1 1 0 0 1 1 0 0 0 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1

根据系统框图写VHDL程序的Entity,用行为描述的方法,根据真值表写VHDL程序的Architecture。

VHDL程序如下:

--Gray code to Binary code

library ieee;

use ieee.std_logic_1164.all;

entity gray2binary is

port( grayin : in std_logic_vector(3 downto 0);

binaryout : out std_logic_vector(3 downto 0));

end gray2binary;

architecture behave of gray2binary is

begin

with grayin select

binaryout <= "0000" when "0000",

"0001" when "0001",

"0010" when "0011",

"0011" when "0010",

"0100" when "0110",

"0101" when "0111",

"0110" when "0101",

"0111" when "0100",

"1000" when "1100",

"1001" when "1101",

"1010" when "1111",

"1011" when "1110",

"1100" when "1010",

"1101" when "1011",

"1110" when "1001",

"1111" when "1000",

end behave;

4-22

用VHDL 设计一个代码转换逻辑电路。把4位二进制代码转换成7段字符显示代码。能显示数字0~9和字母A ,b ,C ,d ,E ,F 。

解:电路的输入是4位代码,输出是7位代码。用D3~D0作为输入信号名,用Ya~Yg 作为输出信号名,分别对应a 、b 、c 、d 、e 、f 、g 这7个段。

系统框图

列出代码转换逻辑的真值表。

表4.12 代码转换逻辑电路的真值表

根据系统框图设计VHDL 程序的entity ,用行为描述的方法,根据真值表设

计VHDL程序的architecture。

library ieee;

use ieee.std_logic_1164.all;

entity bcd2seg7 is

port( bcdin : in std_logic_vector(3 downto 0);

segout : out std_logic_vector(6 downto 0));

end bcd2seg7;

architecture behav of bcd2seg7 is

begin

with bcdin select

segout <= "1111110" when "0000", --display"0"

"0110000" when "0001", --display"1";

"1101101" when "0010",

"1111001" when "0011",

"0110011" when "0100", --display"4";

"1011011" when "0101",

"1011111" when "0110",

"1110000" when "0111", --display"7";

"1111111" when "1000",

"1110011" when "1001", --display"9";

"1110111" when "1010", --display"A";

"0011111" when "1011", --display"b";

"1001110" when "1100", --display"C";

"0111101" when "1101", --display"d";

"1001111" when "1110", --display"E";

"1000111" when "1111", --display"F";

"0000000" when others;

end behave;

4-22 (有没有简单方法呢?)

用VHDL设计一个代码转换逻辑电路。把7位的ASCII码转换成7段字符显示代码。能显示数字0~9,字母A,b,C,d,E,F,H,L,o,P,U,等。

解:

4-23 用VHDL设计一个16位全加器。

解:

4-24

用VHDL设计一个8位数值比较器。

解:

4-25 用VHDL设计一个四位超前进位加法器。

解:

-- 4-Bit carry-look-ahead Full Adder

library ieee;

use ieee.std_logic_1164.all;

entity FULL_ADDER1 is

port ( A, B : in bit_vector(3 downto 0);

Cin : in bit;

S : out bit_vector(3 downto 0);

Cout : out BIT);

end FULL_ADDER1;

--

architecture FULL_ADDERp of FULL_ADDER1 is

signal sA,sB,sS : bit_vector(3 downto 0);

signal sCin , sCout : bit ;

signal sC : bit_vector(3 downto 0) ;

signal sT : bit_vector(3 downto 0) ;

signal sG : bit_vector(3 downto 0) ;

begin

sA <= A ;

sB <= B ;

sCin <= Cin ;

sT(0) <= sA(0) xor sB(0) ; --P0

sG(0) <= sA(0) and sB(0) ; --G0

sT(1) <= sA(1) xor sB(1) ; --P1

sG(1) <= sA(1) and sB(1) ; --G1

sT(2) <= sA(2) xor sB(2) ; --P2

sG(2) <= sA(2) and sB(2) ; --G2

sT(3) <= sA(3) xor sB(3) ; --P3

sG(3) <= sA(3) and sB(3) ; --G3

--

sC(0)<=sG(0) or (sT(0) And sCin) ; --C0

sC(1)<=sG(1) or (sT(1) and (sG(0) or (sT(0) and sCin))); --C1

sC(2)<=sG(2) or (sT(2) and (sG(1) or (sT(1) and (sG(0) or (sT(0) and sCin))))); --C2

sC(3)<=sG(3) or (sT(3) and (sG(2) or (sT(2) and (sG(1) or (sT(1) and (sG(0) or (sT(0) and sCin))))))); --C3

--

sS(0) <= sT(0) xor sCin ; --S0

sS(1) <= sT(1) xor sC(0) ; --S1

sS(2) <= sT(2) xor sC(1) ; --S2

数字逻辑第四章

第四章组合逻辑电路 ---------------------------------------------------------------------------- 1 : 在组合电路中,任意时刻的输出与 A:该时刻的输入无关,与电路的原来状态有 B:该时刻的输入有关,与电路的原来状态有关 C:该时刻的输入无关,与电路的原来状态无关 D:该时刻的输入有关,与电路的原来状态无关 您选择的答案: 正确答案:D 知识点:组合逻辑电路的特点:组合逻辑电路中,任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关 ---------------------------------------------------------------------------- 2 : 编码器的逻辑功能是将 A:输入的高、低电平编成对应输出的高、低电平 B:输入的二进制代码编成对应输出的高、低电平 C:输入的高、低电平编成对应输出的二进制代码 D:输入的二进制代码编成对应输出的二进制代码 您选择的答案: 正确答案:C 知识点:在二值逻辑电路中,编码器的逻辑功能是将输入的每一个高、低电平信号编成一个对应的二进制代码 ---------------------------------------------------------------------------- 3 : 对于普通编码器和优先编码器下面的说法正确的是 A:普通编码器和优先编码器都允许输入多个编码信号 B:普通编码器和优先编码器都只允许输入一个编码信号 C:普通编码器只允许输入一个编码信号,优先编码器允许输入多个编码信号 D:普通编码器允许输入多个编码信号,优先编码器只允许输入一个编码信号 您选择的答案: 正确答案:C 知识点:在普通编码器中,任何时刻只允许输入一个编码信号,否则输出将发生混乱;优先编码器在设计时已将所有的输入信号按优先顺序排了队,当几个输入信号同时出现时,只对其中优先权最高的一个进行编码,所以允许同时输入两个以上的编码信号 ---------------------------------------------------------------------------- 4 : 8线—3线优先编码器74HC148输入端I1’、I5’同时有效时输出二进制数为 A:101 B:100 C:001 D:010 您选择的答案: 正确答案:D

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

数字设计原理与实践(第四版)课后各章节习题答案

3.11 对图X3.11(a)所示的AOI 电路图,采用AND,OR,INV 画出对应的逻辑图。 解:Z = (A?B + C + D)' 3.12 对图X3.11(b)所示的OAI 电路图,采用AND,OR,INV 画出对应的逻辑图。 解:Z = ((A + B)?C ?D)' 13 画出NOR3 对应的电路图。 解:3 输入端或非门结构应为:上部3 个P 管串联,下部3 个N 管并 联,结构如图所示。 3.15 画出OR2 所对应的电路图。 解:在NOR2 电路的输出端后面级联一个INV。 3.59 画出图X3.59 逻辑图所对应的电路图。 解: 3.21 若输出低电平阈值和高电平阈值分别设置为1.5V 和3.5V,对图X3.21 所示的反相器特性,确定高态与低态的DC 噪声容限。解:由图中可以看到,输出3.5V 对应的输入为2.4V,输出1.5V 对应的输入为2.5V;所以,高态噪声容限为:3.5-2.5=1 V ;低态噪声

容限为:2.4-1.5=0.9 V。 3.26 利用表3-3 计算74HC00 的p 通道和n 通道的导通电阻。解:采用极端值计算(对商用芯片,最低电源电压设为 4.75V)表中所列输出电压与电流关系如图所示: 根据电流定律,高态输出时可以建立下列方程: p n R R 0.35 0.02 = 4.4 ? ? ? ? ?? ? ? ? p n R R 0.91 4 = 3.84 ? ? ? ? ?? ? ? ? 联立求解可得:R = 0.151kΩ = 151Ωp 低态输出时可以建立下列方程: n p R R 0.1 0.02 = 4.65 ? ?? ? ??? ?

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

数字逻辑_习题四_答案

习题四部分习题参考答案 4.1 将下列函数简化,并用与非门和或非门画出逻辑电路图。& (3)C B C A D C A B A D C B A F +++=),,,( 解:化简得F=C B C A B A ++ F 的与非式为:F=C B C A B A ?? ,逻辑电路图如图1所示。 F 的或非式为:F=C B A C B A C B A ABC F +++++=+=,逻辑电路 图如图2所示。 图1 图2 4.3分析图4.59所示的逻辑电路图,并说明其逻辑功能。 解:(1)由逻辑电路图写出逻辑表达式并化简可得: D C D B D C D B F D BC D C B D C A D BC D C B D C A F CD ABD CD ABD F +=?=++=??=+=?=012 (2)根据逻辑表达式,其逻辑功能如表所示。 1 C 1 & 1 & & & & & & A B ≥1 0 ≥1 ≥1 ≥1 A C B ≥1 ≥1 F

由真值表可知,DCBA 表示的二进制数,当该值小于等于5,F0=1,当当该值小于等于10,大于5,F1=1,当该值小于等于15,大于10,F2=1。 4.4 试分析图4.60 所示的码制转换电路的工作原理 答:①写出逻辑表达式 001G B B =⊕ 112G B B =⊕ 223G B B =⊕ 33G B = D C B A F2 F1 F0 输 入 输 出 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 0 1 1 0 0 1 0 0 1 1 0 1 1 0 0 1 1 1 0 1 0 0 1 1 1 1 1 0 0

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

数字逻辑设计习题第4章

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? 4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 123 B C Z

P和4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出 1 P的表达式,列出真值表,说明其逻辑功能。 2 Array 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备 出故障时,指示灯红灯和黄灯都亮。

4—6 下图4.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。 4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。 要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。 G A

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当 3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出 与或非表达式,用集电极开路门实现。 4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式; 2.用八选一数据选择器和门电路实现。

数字逻辑武汉工程大学第四版

武汉工程大学数学逻辑答案 第3章 组合逻辑电路 3.1解题指导 例3-1 试写出图3-1所示电路输出F 的表达式。74148为优先编码器。其功能见表3-1所示。 表3-1 74148的真值表 解:图3-1中电路的74148的70~I I 虽然都接地,但只对7I 编码,74151的A 2A 1A 0等于74148的012A A A 等于000,使F =D 0=A 。 例3-2 试分析图3-2所示电路的逻辑功能。 图3-1 例3-1逻辑图 B A 0 5图3-2 例3-2 的逻辑图

解:题示电路中74138的A 2=0,使74138变成2线-4线译码器。AB =00时,00=F 1321===F F F 。 若此时CD =00,则F =D 0=0;而CD ≠00时,F ≠D 0,F =1。故该电路的功能为AB =CD 时,输出F =0,AB ≠CD 时,F =1。 例3-3人类有四种基本血型—A 、B 、AB 、O 型。输血者与受血者的血型必须符合下述原则:O 型血可以输给任意血型的人,但O 型血只能接受O 型血;AB 型血只能输给AB 型,但AB 型能接受所有血型;A 型血能输给A 型和AB 型,但只能接受A 型或O 型血;B 型血能输给B 型和AB 型,但只能接受B 型或O 型血。试用与非门设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路。如果输血者与受血者的血型符合规定电路输出“1”(提示:电路只需要四个输入端。它们组成一组二进制代码,每组代码代表一对输血—受血的血型对)。 解:用变量A 、B 、C 、D 表示输血者、受血者的血型对作为输入变量,用F 表示血型是否符合作为输出变量。得到血型与二进制数间的对应关系,从而得到真值表如表3-2所示。 血型与二进制数对应关系 表 由真值表画出卡诺图如图3-3所示。 由卡诺图得表达式如下:C B CD D A B A C B CD D A B A F ???=+++= 由表达式画出逻辑图如图3-4所示。 B O A B

数字逻辑课后习题答案(科学出版社_第五版)

第一章开关理论基础1.将下列十进制数化为二进制数和八进制数 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.1111 7.74 79.43 10011001.0110111 231.334 2.将下列二进制数转换成十进制数和八进制数 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3.将下列十进制数转换成8421BCD码 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110 0.9475=0.1001 0100 0111 0101 4.列出真值表,写出X的真值表达式 A B C X 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0

1 0 1 1 1 1 0 1 1 1 1 1 X=A BC+A B C+AB C+ABC 5.求下列函数的值 当A,B,C为0,1,0时:A B+BC=1 (A+B+C)(A+B+C)=1 (A B+A C)B=1 当A,B,C为1,1,0时:A B+BC=0 (A+B+C)(A+B+C)=1 (A B+A C)B=1 当A,B,C为1,0,1时:A B+BC=0 (A+B+C)(A+B+C)=1 (A B+A C)B=0 6.用真值表证明下列恒等式 (1) (A⊕B)⊕C=A⊕(B⊕C) A B C (A⊕B)⊕C A⊕(B⊕C) 0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 所以由真值表得证。 (2)A⊕B⊕C=A⊕B⊕C

数字逻辑电路(王秀敏主编)课后习题答案第二章

第1章 概述 检 测 题 一、填空题 1. 在时间和数值上都是连续变化的信号是_______信号;在时间和数值上是离散和量化 的信号是_______信号。 2. 表示逻辑函数常用的方法有4种,它们是_______,________,________,_______。 3. 正逻辑体制高电平用逻辑_____表示,低电平用逻辑_____表示。 4. 任何进位计数制,数值的表示都包含两个基本的要素:_______和_______。 5. 102816(9 6.75)( )( )( )=== 二、请完成下列题的进制转换 1.210(1011001)( )= 810(736.4)( )= 1610(34)( )F C = 2.112(30)( )= 102(16.6875)( ) = 3.28(1011101)( )= 28(1010010.11010)( )= 4.82(127.65)( )= 162(9.16)( ) A = 5.216(1110101100)( )= 216(1111.001)( ) =

三、选择题 1.在下列各数中,最小的数是( ) (a) 2(101001) (b) 8(52) (c) 16(2)B (d) 10(96) 2. 8421(100110000110)( )BCD 余3BCD (A)100110001001 (B)100110001000 (C)110010000110 (D)101100001100 四、简述题 1.为什么在数字系统中通常采用二进制/ 2.何为进位计数制? 何为码制? 何为正、负逻辑? 3.算术运算、逻辑运算和关系运算的区别? 检测题答案 一、填空题 1. 答案:模拟,数字 2. 答案:真值表,逻辑函数式,逻辑图,卡诺图。 3. 答案:1,0;0,1 4. 答案:基数,位数 5. 答案:1100000.11,140.6,60.0 二、请完成下列题的进制转换 1. 89; 478.5; 8012 2. 11110; 10000.1011 3. 135; 122.62 4. 1010111.110101; 10011010.00010110 5. 3AC ; F.2 三、选择题 1.答案:A 2. 答案:A 四、简述题 答案:略

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

数字逻辑第二章作业答案

第二章部分习题答案:(第四版) 2.1 (e) 10100.11012 =14.D16(f) F3A516 =11110011101001012 (i) 101111.01112 =57.348 2.2 (a) 12348 =0010100111002 =29C16 (e) 7436.118=111100011110.0010012=F1E.2416 2.3 (b) 7E6A16=1111110011010102=771528 (f) DEAD.BEEF16=1101111010101101.10111110111011112=157255.5756748 2.5 (e) 10100.11012=20.812510 (j) 15C.3816 =348.2187510 2.6 (b) 348910=66418 (f) 2385110=5D2B16 2.9(b) 57734 + 1066 61022 2.10(c) F35B + 27E6 11B41 2.11 decimal +25 +120 +82 -42 -6 -111 signed-magnitude 00011001 01111000 01010010 10101010 10000110 11101111 two’s-complement 00011001 01111000 01010010 11010110 11111010 10010001 ones’-complement 00011001 01111000 01010010 11010101 11111001 10010000 2.12 (b) 10011110, overflow not occurs (c) 10001110, overflow occurs (d) 10000000, overflow occurs 2.16 1264843010=COFFEE16 2.18 ( a) r>6; (b)r=8; (c) r>3; (d) r=5; (e) r=4; (f)r=6;

数电第4章习题解答张克农版 2

97 4章课后习题解答 4.1 根据图题4.1中输入信号R 、S 的波形,画出图4.2.1中的基本RS 锁存器的状态变化波形。 [解]见图解4.1中Q 、Q 的波形。 4.2 根据图题4.2所给的时钟脉冲波形及输入信号R 、S 的波形,画出图4.2.6中时钟控制RS 锁存器输出Q 的波形。 [解]见图解4.2中Q 、Q 的波形。 4.3 主从JK 触发器电路结构如图题4.3.1(a)所示,设初态为0,已知CP 、J 、K 和R 的波形如图题4.3所示,试画出Q A 、Q B 的波形。 [解]见图解4.3中Q A 、Q B 的波形。 4.4 图题4.4中各触发器的初始状态Q =0,试画出在触发脉冲CP 作用下各触发器Q 端的电压波形。 [解]见图解4.4中Q 1~Q 8的波形。 图题、解4.1 图题、解 4.2 图题、解4.3

98 4.5画出图题4.5中Q 的波形(忽略触发器的传输延迟时间)。 [解]见图解4.5中Q 的波形。 4.6分别画出图题4.6 (a)、(b)中Q 的波形(忽略触发器的传输延迟时间,假定触发器的 初始状态为0)。 【解】见图解4.6中Q 的波形。 图题、解 4.4 图题、解4.5 图题 4.6

99 (a) (a) (b) 图解4.6 4.7 图题4.7所示为各种边沿触发器,已知CP 、A 和B 的波形,试画出对应的Q 的波形。(假定触发器的初始状态为0)。 【解】Q 1、Q 2、Q 3、Q 4的波形见图解4.7所示。 图题 4.7

100 图解4.7 4.8 试画出图题4.8中P 的波形(忽略触发器的传输延迟时间)。 【解】P 的波形见图解4.8所示。 图解4.8 4.9 试分析图题4.9所示引入转换电路(在虚线框内)后,整个触发器电路的逻辑功能。 图题 4.8

数字逻辑第六版白中英课后习题答案

第四章习题答案 1.设计4个寄存器堆。 解: 2. 设计具有4个寄存器的队列。 解: 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。 4.SRAM、DRAM的区别 解:DRAM表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM拥有更高的密度,常常用于PC中的主存储器。 SRAM是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM要快。SRAM常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM采用行选通和列选通 解:DRAM存储器读/写周期时,在行选通信号RAS有效下输入行地址,在列选通信号CAS有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM实现二进制码到余3码转换 解:真值表如下: 8421码余三码 B B2B1B0G G2G1G0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 10 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 最小项表达式为: G=∑)9,8,7,6,5(G2=∑)9,4,3,2,1(G1=∑)8,7,4,3,0(G0=∑)8,6,4,2,0( 33 3

数字逻辑第四章课后答案..

习题4解答 4-1 试用与非门设计实现函数F(A,B,C,D)=Σm(0,2,5,8,11,13,15)的组合逻辑电路。 解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。 化简后的函数 4-2 试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。 解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。 真值表:逻辑函数表达式: C B A C B A C B A C B A Y? ? + ? ? + ? ? + ? ? = C B A⊕ ⊕ =) ( ACD D C B D B A D C B ACD D C B D B A D C B ACD D C B D B A D C B F ? ? ? ? ? ? ? = + + ? ? + ? ? = + + ? ? + ? ? = 逻辑图 B A C D F

4-3 用与非门设计四变量多数表决电路。当输入变量A 、B 、C 、D 有三个或三个以上为1时输出为1,输入为其他状态时输出为0。 解: 真值表: 先用卡诺图化简,然后变换成与非-与非表达式: 逻辑函数表达式: 4-4 用门电路设计一个代码转换电路,输入为4位二进制代码,输出为 4位循环码。 解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。 ACD BCD ABC ABD ACD BCD ABC ABD ACD BCD ABC ABD Y ???=+++=+++=逻辑图

真值表: 卡诺图化简: 化简后的逻辑函数: Y 1的卡诺图 Y 2的卡诺图 Y 3的卡诺图 Y 4的卡诺图 A Y =1B A B A B A Y ⊕=+=2C B C B C B Y ⊕=+=3D C D C D C Y ⊕=+=4Y Y 逻辑图

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

数字逻辑习题答案(毛法尧)第二版

毛法尧第二版 习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算: ⑴0000101-0011010 [0000101-0011010]原=10010101; ∴0000101-0011010=-0010101。 [0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101 [0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101 ⑵0.010110-0.100110 [0.010110-0.100110]原=1.010000;

数字逻辑第四章答案

第4章 作业答案 1、某工厂有三个车间,每个车间各需1kW 电力。这三个车间有两台发电机组供电,一台是1kW ,另一台是2kW 。三个车间经常不同时工作,有时共有1个车间工作,有时两个或三个车间同时工作。为了节省能源又能保证电力供应,请设计一个逻辑电路,能自动完成供电分配任务。(所需要的门电路输入引脚个数和类型无限制要求,但是尽量用与非门和异或门实现)。 解:设A 、B 、C 分别为三个车间工作时的电力需求情况,1为工作,需要用 电,0为不工作不需要电力供应;输出为两个F 1、F 2,F 1=1表示需要1Kw 的发电机供电,F 2=1表示需要2Kw 的发电机供电,根据题意列阵真值 根据真值表得F 1和F 2的逻辑函数为: C B A F ⊕⊕=1 BC AC AB BC AC AB ABC C AB C B A BC A F ??=++=+++=2 电路图为: 2、分析下面逻辑电路图的功能。 解:根据电路图写出布尔表达式为:BC A BC A F +=?= 根据真值表可知,当A 为1或B 、C 同时为1时,输出F=1;此电路可看作一个表决电路,A 为主裁判,B 、C 为副裁判,规则为:当主裁判通过或两个副裁判同时通过时, 最终表决结果F 为通过。

3、使用74138和与非门(输入引脚数目无限制)实现函数BC A F += 解:将函数扩展成为最小项表达式: 7654376543m m m m m =????= 也可以如下操作: CBA A CB A B C BA C A B C ABC C AB C B A C B A BC A BC A F ++++=++++=+= 7 65317653176531Y Y Y Y Y m m m m m m m m m m ????=????=++++= 4、使用74151和逻辑门实现下列逻辑函数。 (1)∑=)7,3,1,0(),,(m C B A F 解:7766554433221100D m D m D m D m D m D m D m D m Y +++++++= 令输入D 0、D 1、D 3、D 7为1,D 2、D 4、D 5、D 6为0,可得: F m m m m Y =+++=73107 6543m m m m m ABC C AB C B A C B A BC A BC A F ++++=++++=+=

数字设计原理与实践_第四版_课后习题答案

第1章习题参考答案: 1-6一个电路含有一个2输入与门(AND2),其每个输入/输出端上都 连接了一个反相器;画出该电路的逻辑图,写出其真值表;能否将该 电路简化? 解:电路图和真值表如下: 由真值表可以看出,该电路与一个2输入或门(OR2)相同。 第2章习题参考答案: 2.2将下面的八进制数转换成二进制数和十六进制数。 (a)12348=10100111002=29C16 (b)1746378=11111001100111112=F99F16 (c)3655178=111101011010011112=1EB4F16 (d)25353218=101010111010110100012=ABAD116 (e)7436.118=111100011110.0010012=F1E.2416 (f)45316.74748=100101011001110.11110011112=4ACE.F2C16 2.3将下面的十六进制数转换为二进制数和八进制数。 (a)102316=10000001000112=100438 (b)7E6A16=1111110011010102=771528

(c)ABCD16=10101011110011012=1257158 (d)C35016=11000011010100002=1415208 (e)9E36.7A16=1001111000110110.011110102=117066.3648 (f)DEAD.BEEF16=1101111010101101.10111110111011112 =157255.5756748 2.5将下面的数转换成十进制数。 (a)11010112=107(b)1740038=63491(c)101101112=183 (d)67.248=55.3125(e)10100.11012=20.8125(f)F3A516= 62373 (g)120103=138(h)AB3D16=43837(i)71568=3694 (j)15C.3816=348.21875 2.6完成下面的数制转换。 (a)125=11111012(b)3489=66418(c)209=110100012 (d)9714=227628(e)132=100001002(f)23851=5D2B16 (g)727=104025(h)57190=DF6616(i)1435=26338 (j)65113=FE5916 2.7将下面的二进制数相加,指出所有的进位: (a)S:1001101C:100100 (b)S:1010001C:1011100

《数字逻辑与电路》复习题及答案

《数字逻辑与电路》复习题 第一章数字逻辑基础(数制与编码) 一、选择题 1.以下代码中为无权码的为CD。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为AB 。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是CD 。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为ABCD 。 A. (0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.与八进制数(47.3)8等值的数为:A B。 A.(100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 8.常用的BC D码有C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。(√) 2. 8421码1001比0001大。(×) 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 4.格雷码具有任何相邻码只有一位码元不同的特性。(√) 5.八进制数(17)8比十进制数(17)10小。(√) 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√) 7.十进制数(9)10比十六进制数(9)16小。(×) 8.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。(√) 三、填空题

相关文档
最新文档