兰州交通大学单片机课设流水灯

兰州交通大学单片机课设流水灯
兰州交通大学单片机课设流水灯

单片机原理及系统课程设计

专业:电气工程及其自动化

班级

姓名:

学号:

指导教师:

兰州交通大学自动化与电气工程学院

2013 年 3 月 7 日

基于单片机的流水灯设计

摘要

单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能集成到一块硅片上构成的一个小而完善的计算机系统。

本设计是以AT89C51单片机为彩灯控制方案,充分利用了8051芯片的I/O引脚。系统以采用MCS-51系列单片机Intel8051为中心器件来设计LED流水灯系统,实现8组LED霓虹灯的左、右循环显示,并实现循环的速度可调。本方案以嵌入式方式为基础,软硬件相结合,运用proteus,visio和仿真软件keil完成设计。本设计优点是实际应用效果好,亮灯花样好,与其他彩灯相比体积小、件硬少、价格低、低能耗、电路结构简单及容易操作和阅读。在现代社会中,彩灯具有广泛的发展天地。

关键字:AT89C51;单片机;LED流水灯

Abstract

MCU is a kind of integrated circuit chip, It is the use of large scale integrated ciruit technology has the ability to handle data central processor CPU RAM random access memory, read-only memory ROM, a variety of I/O port and interrupt system, timer / timer functions are integrated into one small but perfect computer system consisting of a silicon chip.

The design is based on AT89C51 MCU as the lights control scheme, make full use of the 8051 chip I/O pin. System uses MCS-51 series single-chip microcomputer Intel8051 as the center to design LED water lamp system, the realization of the 8 group LED neon light left, right circular display, and realize the circulation speed adjustable. The scheme is based on the embedded mode, the combination of software and hardware, the use of Proteus, Visio and simulation software keil to complete the design. This design has the advantages of good actual application effect, good lighting pattern, compared with other lights of small size, low price, small pieces of hard, low energy consumption, simple circuit structure and easy to operate and reading. In modern society, with the development of world wide lantern.

Keywords: AT89C51,MCU,LED water lamp

1 引言

1.1设计目的

(1)学习基本理论在实践中综合运用的初步经验,掌握电路设计的基本方法、设计步骤,培养综合设计与调试能力,进一步提高综合运用所学知识和设计能力的目的。

(2)掌握汇编语言程序设计方法。

(3)培养实践技能,提高分析和解决实际问题的能力,并具备一定程度的设计能力。

1.2设计任务及要求

(1)掌握MCS-51系列89c51的原理。

(2)设计LED流水灯系统,实现8组LED霓虹灯的左、右循环显示。

(3)用protel软件完成原理电路图的绘制。

1.3设计方法

本流水灯实际上就是一个带有八组发光二极管的单片机最小应用系统。如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.7口的其他7组LED的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED8依次点亮、熄灭就可以。

2 设计方案及原理

2.1总体设计方案

此次8组LED流水灯的设计是以AT89C51作为主控芯片,将P1分别接在8组LED实现显示,运用汇编语言编程来实现。

2.2系统组成框图

系统组成框图如图1所示。

2.3系统原理组成

此系统以AT89C51为主,利用时钟电路产生脉冲控制流水灯的节奏,同时接有复位电路解决故障灯问题,本设计还单独设计一个开关控制8组流水灯的关闭,

由于本设计为花样流水灯,因此设计8组不同颜色的LED灯,来显示其花样。

图1 系统组成框图

3 硬件设计

3.1单片机时钟电路

时钟电路用于产生单片机工作所需要的时钟信号,单片机本身就是一个复杂的同步时序电路,为了保证同步工作方式的实现,电路应在唯一的时钟信号控制下严格地按时序进行工作。

51单片机内部有一个用于构成振荡器的高增益反相放大器,引脚XTAL1和XTAL2分别是反相放大器的输入端和输出端,由这个放大器与作为反馈元件的晶体振荡器和微调电容一起构成了一个稳定的自激振荡器。此电路采用12MHz的石英晶体。

时钟电路如图2所示。

3.2复位电路

第一次上电时,或者死机后,需要重新初始化,进行复位是把寄存器各种设置重新初始化,如图通电电容可以当短路,电容充电,所以RST脚为低电平。由于复位条件必须51单片机的RET引脚保持两个机器周期以上的高电平,才完成一次复位。利用RC电路可保持RST有两个机器周期以上的高电平,按下开关达到两个机器周期就成功复位一次。

复位电路如图3所示。

图2时钟电路图3复位电路

4 软件设计

本次软件设计我采用的是汇编语言编译,运用查表法来控制LED灯的流水作业。运用查表法能够实现任意方式的流水,而且流水花样无限,只要改变流水花样数据表的流水数据就可以随意的添加或改变流水花样,真正实现随心所欲的

流水灯效果。我们先把流水灯数据建在一个以TAB为标号的数据表中,然后通过指令“MOVC A,@A+DPTR”把数据取到累加器A中,再送到P1口显示。

4.1流程图

流程图如图4所示。

4.2程序清单

程序清单如附录一所示。

5 系统仿真

系统仿真图如附录二所示。

6 总结

本次课程设计我设计的是流水灯的设计,以编程软件Proteus和仿真软件keil 为主。初次实习单片机设计,硬件设计我选择了最为简单的8组LED灯设计,只运用一个AT89C51芯片、三个电路和一个开关,电路图如附录二所示。软件设计是根据电路图所设计的,由于汇编语言比C语言简明,我选择运用汇编,我的设计可以用循环移位法和查表法,但前一种方法没有后一种流水灯花样灵活,查表法可以根据自己的意愿任意决定流水灯的流水作业,因此我选了查表法。在程序中我特意加了个开关,由P0.0口控制,这样可以根据人们自己的意愿选择流水灯

的打开和断开,最后汇编程序生成hex文件导入AT89C51芯片就可以实现流水灯的运转。

本次设计我特意用了各种颜色的LED灯来显示其花样。最终本次设计的结果是实现了8组流水灯根据我数据表中的数据来显示流水花样,而且开关可控制开断。

图4 流程图

参考文献

[1] 王思明,张金敏,张鑫,苟军年,杨乔礼编著.单片机原理及应用系统[M].北京:科学出版

社,2012

[2] 冯博琴,吴宁主编.微型计算机原理与接口技术[M].北京:清华出版社,2007

[3]谭浩强著.C程序设计[M].北京:清华出版社,2005

附录一

程序清单

ORG 0000H ; 单片机上电后从0000H地址执行

AJMP START ; 跳转到主程序存放地址处

ORG 0030H ; 设置主程序开始地址

START:MOV SP,#60H ; 设置堆栈起始地址为60H

MOV DPTR,#TAB ; 流水花样表首地址送DPTR

START1:JNB P0.0,LOOP ; 设计开关,由P0.0控制

AJMP START1 ; 开关断开,则继续检查

LOOP: CLR A ; 累加器清零

MOVC A,@A+DPTR ; 取数据表中的值

CJNE A,#0FFH,SHOW ; 检查流水结束标志

AJMP START ; 所有花样流完,则从头开始重复流SHOW: MOV P1,A ; 将数据送到P1口

ACALL DELAY ; 调用延时子程序

INC DPTR ; 取数据表指针指向下一数据

AJMP LOOP ; 继续查表取数据

DELAY: ; 延时子程序

MOV R0,#248 ; 延时一段时间

D1: MOV R1,#248

DJNZ R1,$

DJNZ R0,D1

RET ; 子程序返回

TAB: ; 下面是流水花样数据表,用户可据要求任意编写

DB 11111110B ; 二进制表示的流水花样数据,从低到高左移DB 11111101B

DB 11111011B

DB 11110111B

DB 11101111B

DB 11011111B

DB 10111111B

DB 01111111B

DB 01111111B ; 二进制表示的流水花样数据,从高到低右移DB 10111111B

DB 11011111B

DB 11101111B

DB 11110111B

DB 11111011B

DB 11111101B

DB 11111110B

DB 0FEH,0FDH,0FBH,0F7H ; 十六进制表示的流水花样数据DB 0EFH,0DFH,0BFH,7FH

DB 7FH,0BFH,0DFH,0EFH

DB 0F7H,0FBH,0FDH,0FEH

DB 3FH,9FH,0CFH,0E7H

DB 0F3H,0FCH,7EH,5FH

DB 0AFH,0D7H,0EBH,0F5H

DB 0FAH,6FH,0B7H,0DBH

DB 0EDH,0F6H,77H,0BBH

DB 0DDH,0EEH,7BH,0BDH

DB 0DEH,7DH,0BEH,7EH

DB 1FH,8FH,0C7H,0E3H

DB 0F1H,0F8H,7CH,3EH

DB 9DH,0CBH,0D3H,0B9H

DB 57H,0ABH,0D5H,0EAH

DB 0FH,87H,0C3H,0E1H

DB 0F0H,78H,3CH,1EH

DB 99H,0A5H,5AH,8DH

DB 0C3H,07H,83H,0C1H

DB 0E0H,70H,38H,1CH

DB 0EH,03H,81H,0C0H

DB 60H,30H,18H,0CH

DB 06H,03H,00H,00H

DB 0FFH

END ; 程序结束

附录二

系统仿真图

兰州交通大学专业分数线

份类业分分分注 201 5浙 江 材料成型及控制工程428516516516 浙江(理 工) 201 5浙 江 材料科学与工程428522517520 浙江(理 工) 201 5浙 江 测绘工程428517517517 浙江(理 工) 201 5浙 江 车辆工程428536524529 浙江(理 工) 201 5浙 江 车辆工程(卓越计划班)428536531534 浙江(理 工) 201 5浙 江 地理信息科学428515515515 浙江(理 工) 201 5浙 江 电气工程及其自动化428542528535 浙江(理 工) 201 5浙 江 电气工程及其自动化(卓 越计划班) 428542542542 浙江(理 工) 201 5浙 江 电子科学与技术428527523525 浙江(理 工) 201 5浙 江 电子信息工程428529529529 浙江(理 工) 201 5浙 江 工程力学428522522522 浙江(理 工) 201 5浙 江 工程造价428538528533 浙江(理 工)

份类业分分分注 201 5浙 江 轨道交通信号与控制428543529536 浙江(理 工) 201 5浙 江 化学工程与工艺428519517518 浙江(理 工) 201 5浙 江 环境工程428523517520 浙江(理 工) 201 5浙 江 环境科学428529502516 浙江(理 工) 201 5浙 江 机械电子工程428518517518 浙江(理 工) 201 5浙 江 机械设计制造及其自动 化 428527522525 浙江(理 工) 201 5浙 江 建筑环境与能源应用工 程 428521521521 浙江(理 工) 201 5浙 江 交通工程428539534537 浙江(理 工) 201 5浙 江 交通运输428562561562 浙江(理 工) 201 5浙 江 能源与动力工程428527521524 浙江(理 工) 201 5浙 江 软件工程428532532532 浙江(理 工) 201 5浙 江 通信工程428541535538 浙江(理 工)

双单片机控制流水灯(精)

案例8 双单片机通信控制流水灯 用串行工作方式进行单片机之间的通信,电路图如下图所示。两个89S51单片机通过串行口进行通信,设置U1使用的晶振频率是11.0592MHz,U2使用的晶振频率是22.1184MHz,U1的RXD接U2的TXD,U1的TXD接U2的RXD,U2接8个发光二极管,要求由U1向U2发送数据,使8个发光二极管按从左到右逐一点亮的流水灯效果。 MCS-51单片机之间的串行异步通信 1.串行口的编程串行口需初始化后,才能完成数据的输入、输出。其初始化过程如下: (1)按选定串行口的工作方式设定SCON的SM0、SM1两位二进制编码。 (2)对于工作方式2或3,应根据需要在TB8中写入待发送的第9位数据(地址为1,数据为0)。 (3)若选定的工作方式不是方式0,还需设定接收/发送的波特率。 (4)设定SMOD的状态,以控制波特率是否加倍。 (5)若选定工作方式1或3,则应对定时器T1进行初始化以设定其溢出率。 2.案例分析由于串行口通信时传输的“0”或者“1”是通过相对于“地”的

电压区分的,因此使用串行口通信时,必须将双方的“地”线相连以使其具有相同的电压参考点。需要注意的是,异步通信时两个单片机的串行口波特率必须是一样的。由于U1使用的晶振频率是11.0592MHz,U2使用的晶振频率是22.1184MHz,因此二者的串行口初始化程序不完全一样。假设使用240bit/s的波特率,使用串行工作方式1,Tl使用自动装载的方式2,则Ul的TH1应初始化为136,U2的TH1应初始化为16。 对应的程序完成如下功能:Ul和U2进行双工串行通信,Ul给U2循环发送流水灯控制字,U2收到控制字后送到P0口,点亮相应发光二极管,双方都用中断方式进行收发。 (1)单片机U1的源程序 #include unsigned char sdata=0xfe; void isr_uart(); void main() { TMOD=0X20; TH1=136; TL1=136; SCON=0x40; PCON=0; TR1=1; EA=1;ES=1; SBUF=sdata; while(1); } void isr_uart() interrupt 4 {

C51单片机实行流水灯程序

#include #define LEDPort P1 unsigned char LED01_[9]= {0xFF,0xFE,0xFD,0xFB,0xF7,0xEF,0xDF,0xBF,0x7F,}; //方式0,方式1灯开关数组。unsigned char LED23_[5]= {0xFF,0x7E,0xBD,0xDB,0xE7}; // 方式2,方式3灯开关数组。 unsigned char LED4_[16]={0XFF,0X7F,0X3F,0X1F,0X0F,0X07,0X03,0X01,0X00,0X01,0X03,0X07,0X0f,0X1 f,0X3f,0X7f}; //方式4 unsigned char LED56_[8]={0XFF,0X3F,0X9F,0XCF,0XE7,0XF3,0XF9,0XFC}; unsigned char LED7_[]={0X0F,0XF0,0X33,0XCC,0X3C,0XC3,0XFF}; unsigned char TAB[9]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80};//定义断码表。 unsigned char i=0,j=9; //数据选择计数。 unsigned char Mode=0; //模式选择,默认为模式0。 unsigned char Count=1; //定时器中断计数。 unsigned char Delay=1; //延迟计数,通过改变改数值改变灯状态延迟时间。 //灯延迟时间=uc_Dalay*定时器溢出时间。 void main() { P2=0X3F; TMOD=0x01; //定时器0模式一。 TH0=0x3C; TL0=0xB0; //溢出时间:50ms。 TR0=1; //定时器0开启。 IT0=1; //外部中断0下降沿触发。 IT1=1; //外部中断1下降沿触发。

兰州交通大学继电保护课程设计

eainthea cci denti nvestigation,manageme ntandr eporti ng,ea chpost shoul dbe devel ope dunderthissystemspecialistsche ck,cl eartheexaminati ons,time,cy clesa ndot herreleva ntregulations.Stre ngthe ning sitesupervisi ona ndexami nation,t odete ctandinvestigateill egalcomma nd,i llegaloperationsandviol ationsofoperati ngrules.Secondsafetyreferstothe producti onsite,technologymanag ement,e qui pment,facilit ie s,andsoonca nleadt oaccidentsrisk sexist.1,a ccordi ngtotheexte ntofthese curityri sks,solvingi sdivi dedi ntoa,b,andcl evelsofdifficulty;A-l evel:difficult,mini ngdifficultie s,shallbereportedtothecompany'sproblems.B -cla ss:difficulttoresolvedif iculties,shall consistofmini ngorganizationstosolve probl ems.C -cla ss:fromsegmentsa ndbusine ssriskst hatmustbeaddresse dint heDe partment.2,open -pitmine unsafetypesi ncl ude :ele ctrical,transport,blasti ng,fire,a ndothersl ope.3,a ccordingt othesev erityoftheha zardfille dinbyunittroubl eshooti ng,registra tionform(seeatt 继电保护原理课程设计报告 评语: 考 勤 (10) 守 纪 (10) 设计过程 (40) 设计报告 (30) 小组答辩 (10) 总成绩 (100) 专 业: 电气工程及其自动化 班 级: 电气1004 姓 名: 阮学刚 学 号: 指导教师: 任丽苗 兰州交通大学自动化与电气工程学院 2013 年7月 18日

兰州交通大学毕业设计(论文)规范要求

兰州交通大学毕业设计(论文)规范要求 1、毕业论文组成论文由封面、毕业设计(论文)成绩评议表、毕业设计(论文)任务书、开题报告、中期检查、结题验收、中文摘要、英文摘要、目录、正文、参考文献、附录十二部分组成。各种部分的格式详见附录;(1)封面:封面包括论文题目、学生姓名、班级等,格式详见附1;(2)成绩评议表:包括论文评语、论文成绩,由答辩委员会填写,格式详见附2;(3)任务书:由指导教师填写,在布置毕业设计时发给学生,格式详见附3; (4)开题报告:学生认真书写后交指导教师检查,经指导教师签字有效,格式详见附4;(5)中期报告:由学生认真书写,指导教师签字后有效,格式详见附5;(6)结题验收:由学生认真书写,指导教师签字后有效,格式详见附6;(7)目录:按三级标题编写,要求层次清晰,主要包括摘要、正文主要层次标题、参考文献、附录等;(8)摘要:中文摘要应在400 字左右,包括论文题目、论文摘要、关键词(3至5个),英文要与中文摘要内容要对应; (9)正文:论文正文包括绪论(或前言、概述等)、论文主体、结论。工科论文要求符合 科技论文格式,正文文字应在15000字以上;(10)参考文献:必须是学生本人真正阅读过的,以近期发表的杂志类文献为主,图书类文献不能过多,且要与论文内容直接相关;(11)附录:含外文复印件及外文译文、有关图纸、计算机源程序,如果有毕业实习,需提 供毕业实习报告等。2、毕业论文的格式要求(1)毕业论文要统一用a4(210mm×197mm)标准纸打印装订(左装订)成册,正文用宋或楷体小四号字,版面上空 2.5 cm,下空 2 cm,左右空2 cm(靠装订纸一侧增加0.5 cm空白用于装订)。题目用三号(分两行书写时用 小三号)黑体字;题序和标题用四号黑体字。(2)论文中所涉及到的全部附图,不论计算 机绘制还是手工绘制,都应规范化,符号符合国颁标准。(3)学生完成毕业设计(论文)后,打印一份在xx年9月15日以前交指导教师评阅,进行结题验收。毕业设计 (论文)题目:学院:继续教育学院专业:自动化姓名:学号: 指导教师:xx年 9月 1 5日 5 毕业设计成绩评议表 学生姓名 班级指导教师姓名职称审阅人评语审阅人:年月日答辩委员会综合评语主席: 年月日论文成绩毕业设计(论文)任务书班级: 学生姓名:指导老师: 设计(论文)题目 主要研究内容关键环节计划进度参考资料开题报告班级: 学生姓名:指导老师: 设计(论文)题目

2018年兰州交通大学专升本

(一)报考条件: 根据文件规定,兰州交通大学专升本,本次考试选拔对象,应符合以下条件: 1.在校期间政治思想表现优秀,遵守校纪校规,文明礼貌,未受到任何处分。 2.学历要求:具有本科专业对口,无重考无重修记录,身心健康。 3.平时必修课程和限选课程学习成绩优秀和综合素质好。 4.以综合考试成绩为录取依据,首先按各专业实考人数划定分数资格线,再按成绩从高到低择优录取。 5.综合考试成绩将在录取前公示7天,录取过程中,如果有排名在录取名额内的考生自愿放弃,在名额外的学生按顺序递补。 (二)报考事项: 历年真题QQ在线咨询:363、916、816张老师。学校各相关学院成立工作小组,确定工作中的相关政策和办法研究重大事项;负责本学院考试工作的组织宣传事项和实施工作;完成报考成绩的统计及综合排名汇总材料并上报填表。 1.各学院要先完成报考专业的成绩进行排名,根据名单确定考生的具体范围。 2.符合上述条件的参加综合考试,根据报考专业并提交书面申请材料审核。 3.工作领导小组审核汇总名单后,将公示7天,期满后不再提示。 4.各相关专业按照考试科目的顺序依次进行。

5.考试成绩以书面通知形式发到学生本人。 (三)考试流程: 1.参加初试并获得复试资格的考生,应在复试前填写相关表格,按规定时间提供自身研究潜能的材料,攻读大学阶段的研究计划、科研成果等。 2.报考考生的资格审查由领导小组进行审查,对考生料进行审阅符合报考条件的考生统计填表。 3.我校采取笔试、口试或两者相兼的方式进行差额复试,以进一步安排加强进行考察学生的专业基础、综合分析能力、解决实际问题的能力和各种应用能力等。具体比例由学校根据本学科、专业特点及生源状况安排。 (四)复习方略: 1.要点内容考生贯彻各种各样的资料,其实关键要能保证你进行的系统性。前期整个阶段应该以真题为主,以精读的方式对考试的章节相关要点,对课本有一个纲领性的认识。对课后题必须要掌握,很多知识点题都出自课后。专业基础知识、该专业关注的研究方向。较为系统的了解都要以记忆为基础一定要做到对书的大体框架有全面的把握,把整个原理的前后概念贯穿起来。 2.在复习充分的情况下做完后对照答案进行对比,看看自己的差距在哪。接下来才是最重要的,要根据专业课的真题都会出什么题型,总结其考察重点是什么是哪一章节。在熟悉这些之后安排,一定要必须的题目都整理出来行理解背诵。根据科目的先后顺序,因为通常前几年出现的题目会出现,根据政策方向考核对照问题的深度和广度,结合自己的知识结构知识存量,正确的安排答题技巧针对有限的知识来最好地回答。专业课的难度绝不亚于英语,对掌握的侧重点范围解题

(完整word版)51单片机流水灯

51单片机的流水灯控制 班级:100712 姓名:全建冲 学号:10071047

一、设计要求 用51单片机设计一个流水灯的控制方案,要求采用定时器定时,利用中断法控制流水灯的亮灭,画出电路图和程序流程图,写出程序代码以及代码注释。 二、电路原理图 原理图分析: 本原理图采用STC89S52单片机控制8个LED灯,其中8个LED灯的负极接单片机的P1端口,正极通过1KΩ排阻连接到电源上。原理图中还给出了晶振与复位端,以保证控制器的稳定工作。

三、程序流程图

四、程序代码及注解 1.非中断定时器控制 #include #include//包含了_crol_函数的头文件 #define uchar unsigned char #define uint unsigned int uint i=0; uchar a=0xfe; void main() { TMOD=0x01;//设置工作方式为定时器0,16位手动重装初值 TH0=(65536-46080)/256;//50毫秒定时赋初值 TL0=(65536-46080)%256; TR0=1;//启动定时器0 while(1) { If(TF==1)//读溢出标志位 { TH0=(65536-46080)/256;//重新赋初值 TL0=(65536-46080)%256;

i++; if(i==10)//500毫秒定时 { i=0; P1=a;//P1端口赋值 a=_crol_(a,1);//循环左移 } TF=0;//清除定时器溢出标志 } } } 程序分析:本程序采用非中断定时器法控制流水灯,核心语句在于读取标志位TF位,TF为定时器溢出标志位,溢出时硬件自动置一,所以循环读取标志位以判断定时器是否溢出,而每次溢出需要手动清零,否则定时器无法再次溢出,利用标志i读取10次即可达到500毫秒的定时。另外需要注意的是单片机晶振为11.0592MHz,所以计时一个数的时间为12/11.0592=1.085us,故定时50毫秒的计数为50000/1.085=46080。 2.中断定时器控制 #include

(完整版)51单片机流水灯程序

1.第一个发光管以间隔200ms 闪烁。 2. 8 个发光管由上至下间隔1s 流动,其中每个管亮500ms, 灭500ms 。 3. 8 个发光管来回流动,第个管亮100ms 。 4. 用8 个发光管演示出8 位二进制数累加过程。 5. 8 个发光管间隔200ms 由上至下,再由下至上,再重复一次,然后全部熄灭再以300ms 间隔全部闪烁 5 次。重复此过程。 6. 间隔300ms 第一次一个管亮流动一次,第二次两个管亮流动,依次到8 个管亮,然后重复整个过程。 7. 间隔300ms 先奇数亮再偶数亮,循环三次;一个灯上下循环三次;两个分别从两边往中间流动三次;再从中间往两边流动三次;8 个全部闪烁 3 次;关闭发光管,程序停止。 1 #include #define uint unsigned int sbit led 仁P"0; void delay(); void main() { while(1) { led1=0; delay(); led1=1; delay(); } } void delay() {

uint x,y; for(x=200;x>0;x--) for(y=100;y>0;y--); } #include #include #define uint unsigned int #define uchar unsigned char sbit p P1A0; uchar a; void delay(); void main() { a=0xfe; P1=a; while(1) { a=_crol_(a,1); delay(); P1=a; delay(); } } void delay() { uint b; for(b=55000;b>0;b--); } 3 #include #include #define uint unsigned int #define uchar unsigned char void delay() { uint x,y; for(x=100;x>0;x--) for(y=110;y>0;y--); } void main() { uchar a,i; while(1) a=0xfe; for(i=0;i<8;i++) { P1=a; delay(100); a=_crol_(a,1); } a=0x7f; for(i=0;i<8;i++) { P1=a; delay(100); a=_cror_(a,1);

兰州交通大学毕业设计格式规范要求.doc

兰州交通大学毕业设计格式规范要求 1、组成 由封面、()成绩评议表、设计()任务书、、中期检查、结题验收、中文摘要、英文摘要、目录、正文、、附录十二部分组成。各种部分的格式详见附录; (1)封面:封面包括题目、学生姓名、班级等,格式详见附1; (2)成绩评议表:包括评语、成绩,由委员会填写,格式详见附2; (3)任务书:由指导教师填写,在布置毕业设计时发给学生,格式详见附3; (4)开题报告:学生认真书写后交指导教师检查,经指导教师签字有效,格式详见附4; (5)中期报告:由学生认真书写,指导教师签字后有效,格式

详见附5; (6)结题验收:由学生认真书写,指导教师签字后有效,格式详见附6; (7)目录:按三级标题编写,要求层次清晰,主要包括摘要、正文主要层次标题、参考文献、附录等; (8)摘要:中文摘要应在400字左右,包括题目、摘要、关键词(3至5个),英文要与中文摘要内容要对应; (9)正文:正文包括绪论(或前言、概述等)、主体、结论。工科要求符合科技格式,正文文字应在15000字以上; (10)参考文献:必须是学生本人真正阅读过的,以近期发表的杂志类文献为主,图书类文献不能过多,且要与内容直接相关; (11)附录:含外文复印件及外文译文、有关图纸、计算机源程序,如果有毕业,需提供毕业等。

2、的格式要求 (1)毕业要统一用A4(210mm 197mm)标准纸打印装订(左装订)成册,正文用宋或楷体小四号字,版面上空2.5cm,下空2cm,左右空2cm(靠装订纸一侧增加0.5cm空白用于装订)。题目用三号(分两行书写时用小三号)黑体字;题序和标题用四号黑体字。 (2)中所涉及到的全部附图,不论计算机绘制还是手工绘制,都应规范化,符号符合国颁标准。 (3)学生完成毕业设计()后,打印一份在2012年9月15日以前交指导教师评阅,进行结题验收。

兰州交通大学封面个人简历模板

……………………….…………………………………………………………………………………姓名:杜宗飞专业:计算机科学与技术 学院:数理信息学院学历:本科……………………….…………………………………………………………………………………手机:×××E – mail:×××地址:兰州交通大学

自荐信 尊敬的领导: 您好!今天我怀着对人生事业的追求,怀着激动的心情向您毛遂自荐,希望您在百忙之中给予我片刻的关注。 我是兰州交通大学计算机科学与技术专业的2014届毕业生。兰州交通大学大学四年的熏陶,让我形成了严谨求学的态度、稳重踏实的作风;同时激烈的竞争让我敢于不断挑战自己,形成了积极向上的人生态度和生活理想。 在兰州交通大学四年里,我积极参加各种学科竞赛,并获得过多次奖项。在各占学科竞赛中我养成了求真务实、努力拼搏的精神,并在实践中,加强自己的创新能力和实际操作动手能力。 在兰州交通大学就读期间,刻苦进取,兢兢业业,每个学期成绩能名列前茅。特别是在专业必修课都力求达到90分以上。在平时,自学一些关于本专业相关知识,并在实践中锻炼自己。在工作上,我担任兰州交通大学计算机01班班级班长、学习委员、协会部长等职务,从中锻炼自己的社会工作能力。 我的座右铭是“我相信执着不一定能感动上苍,但坚持一定能创出奇迹”!求学的艰辛磨砺出我坚韧的品质,不断的努力造就我扎实的知识,传统的熏陶塑造我朴实的作风,青春的朝气赋予我满怀的激情。手捧菲薄求职之书,心怀自信诚挚之念,期待贵单位给我一个机会,我会倍加珍惜。 下页是我的个人履历表,期待面谈。希望贵单位能够接纳我,让我有机会成为你们大家庭当中的一员,我将尽我最大的努力为贵单位发挥应有的水平与才能。 此致 敬礼! 自荐人:××× 2014年11月12日 唯图设计因为专业,所 以精美。为您的求职锦上添花,Word 版欢迎 下载。

单片机c语言编程控制流水灯

说了这么多了,相信你也看了很多资料了,手头应该也有必备的工具了吧!(不要忘了上面讲过几个条件的哦)。那个单片机究竟有什么 功能和作用呢?先不要着急!接下来让我们点亮一个LED(搞电子的应该知道LED是什么吧^_^) 我们在单片机最小系统上接个LED,看我们能否点亮它!对了,上面也有好几次提到过单片机最小系统了,所谓单片机最小系统就是在单片机 上接上最少的外围电路元件让单片机工作。一般只须连接晶体、VCC、GND、RST即可,一般情况下,AT89C51的31脚须接高电平。 #include //头文件定义。或用#include其具体的区别在于:后者定义了更多的地址空间。 //在Keil安装文件夹中,找到相应的文件,比较一下便知! sbit P1_0 = P1 ^ 0; //定义管脚 void main (void) { while(1) { P1_0 = 0;//低电平有效,如果把LED反过来接那么就是高电平有效 } } 就那么简单,我们就把接在单片机P1_0上的LED点亮了,当然LED是低电平,才能点亮。因为我们把LED的正通过电阻接至VCC。 P1_0 = 0; 类似与C语言中的赋值语句,即把0 赋给单片机的P1_0引脚,让它输出相应的电平。那么这样就能达到了我们预先的要求了。 while(1)语句只是让单片机工作在死循环状态,即一直输出低电平。如果我们要试着点亮其他的LED,也类似上述语句。这里就不再讲了。 点亮了几个LED后,是不是让我们联想到了繁华的街区上流动的彩灯。我们是不是也可以让几个LED依次按顺序亮呢?答案是肯定的!其 实显示的原理很简单,就是让一个LED灭后,另一个立即亮,依次轮流下去。假设我们有8个LED分别接在P1口的8个引脚上。硬件连接,在 P1_1--P1_7上再接7个LED即可。例程如下: #include sbit P1_0 = P1 ^ 0; sbit P1_1 = P1 ^ 1; sbit P1_2 = P1 ^ 2; sbit P1_3 = P1 ^ 3; sbit P1_4 = P1 ^ 4; sbit P1_5 = P1 ^ 5; sbit P1_6 = P1 ^ 6; sbit P1_7 = P1 ^ 7; void Delay(unsigned char a) { unsigned char i; while( --a != 0) {

兰州交通大学课程设计

兰州交通大学课程设计 题目直流稳压电源设计 班级电气工程及其自动化 学生姓名 学号 指导教师 完成日期

设计一个只流稳压电源 要求: 1:输出直流电压V0=12V+2V(10V~14V连续可调)误差≤0.1V 2:输出直流电流I0=200mA 3:环境温度100C~350C 4:交流电网220V+10%50HZ 内容摘要: 直流稳压电源即开关电源.电源作为电气、电子设备必不可少的能源供应部件,需求日益增加,而且对电源的功能、稳定性等各项指标也提出了更高的要求。它具有可靠性高,功率密度大,抗干扰能力强,广泛应用于数字电路中,工业仪表,交通运输,通讯设备.工控机等大型设备及科研与实验.用作直流供电电源.要在纹波和使用率上,有所效果。本设计采用串联型直流稳压电路。通过相关知识计算出各电路中各个器件的参数,使电路性能达到设计要求中的电压调整率,电流调整率,负载调整率,纹波电压等各项指标。 关键字:电源电路参数 Abstract: DC regulated power supply or switching power supply.Power as the electrical,electronic equipment,essential components of energy supply, increasing demand,but also the function of power and stability of the

indicators put forward higher requirements.It has high reliability,power density,anti-jamming ability,and is widely used in digital circuits, industrial instrumentation,transportation and communications equipment. IPC,such as large-scale equipment and scientific research and https://www.360docs.net/doc/0117414656.html,ed as a DC power supply.And utilization in the ripple, the effect has been.The design uses a series DC circuit.Calculated through the knowledge of the various devices in the circuit parameters, The circuit performance to meet the design requirements of the voltage regulation,current regulation,load regulation,ripple voltage indicators. Keywords:power circuit parameters 方案比较与论证: 直流稳压电源功能方框图 方案一:串联式直流稳压电源 方案二:三端集成稳压电路 论证:方案一结构简单,价格便宜;方案二结构可靠,但其价格较贵。根据实际需要及条件,我们选择第一方案进行设计。 各单元参数计算:

兰州交通大学大学物理a期末复习题

1、 质点做曲线运动时,r 表示位置矢量,s 表示路程,τa 表示切向加速度,下列表达式中(A )a t =d /d v ; (2)v =t r d /d ; (3)τa t =d /d v ;(4)v =t s d /d (A) 只有(1)(4)是对的. (B) 只有(2)是对的. (C) 只有(2)(4)是对的. (D) 只有(3)是对的. 2、 在两个质点组成的系统中,若质点之间只有万有引力作用,且此系统不受外力的作用,则此系统(B) (A) 动量与机械能一定都不守恒. (B) 动量与机械能一定都守恒. (C) 动量不一定守恒,机械能一定都守恒. (D) 动量一定守恒,机械能不一定都守恒. 3、 宇宙飞船关闭发动机返回地球的过程,可以认为是仅在地球万有引力作用下运动。若用m 表示飞船质量, M 表示地球质量,G 表示引力常量,则飞船从地球中心1r 处下降到2r 处的过程中,动能的增量为(D) (A) 2 r GmM (B) 2 2r GmM (C) 2 2 2 121r r r r GmM - (D) 2 121r r r r GmM - 4、 关于刚体对轴的转动惯量,下列说法中正确的是(C) (A) 只取决于刚体的质量,与质量的空间分布和轴的位置无关. (B) 取决于刚体的质量和质量的空间分布,与轴的位置无关. (C) 取决于刚体的质量、质量的空间分布和轴的位置. (D) 只取决于转轴的位置,与刚体的质量和质量的空间分布无关. 5、 一质点作简谐振动.其振动曲线如图所示.若质点的振动规律用余弦函数描述,则其初相应为 (D) (A) 3 2π- (B) 3 2π. (C) 3π- . (D) 3 π . 6、 一平面简谐波在弹性媒质中传播时,某一时刻媒质中某质元在平衡位置 处,则它的能量是(B) (A) 动能为零,势能最大. (B) 动能最大,势能最大. (C) 动能为零,势能为零. (D) 动能最大,势能为零. 7、 一平面简谐波沿x 轴负方向传播.已知 x = x 0处质点的振动表达式为 )cos(0?+=ωt A y .若波长为λ, 则此波的表达式为(A) (A) ]) (2cos[00?λ π +-+=x x ωt A y . (B) ]) (2cos[00?λ π +--=x x ωt A y (C) ]) (2[cos 00?λ π +-+=x x t ωA y . (D) ]) (2[cos 00?λ π +--=x x t ωA y 8、 用劈尖干涉检验工件的表面,当波长为λ的单色光垂直入射时,观察到干涉条纹如图所示,图中每一个条 纹弯曲部分的顶点恰好与右边相邻明条纹的直线部分相切,由图可判断工件表面:(D) O x (cm) t (s) 4 2 -4 9 4

基于51单片机的流水灯

基于51单片机的流水灯 利用51单片机P0口实现8个LED(发光二极管)的流水灯控制。可以使用Proteus软件进行仿真调试。 1 硬件设计 利用单片机的PO口控制8个LED,其电路如下图所示。 在桌面上双击图标,打开ISIS 7 Professional窗口(本人使用的是v7.4 SP3中文版)。单击菜单命令“文件”→“新建设计”,选择DEFAULT模板,保存文件名为“LSD.DSN”。在器件选择按钮中单击“P”按钮,或执行菜单命令“库”→“拾取元件/符号”,添加如下表所示 都可以不画,它们都是默认的。 在ISIS原理图编辑窗口中放置元件,再单击工具箱中元件终端图标,在对象选择器中单击POWER和GROUND放置电源和地。放置好元件后,布好线。左键双击各元件,设置相应元件参数,完成电路图的设计。 2 软件设计 流水灯又称为跑马灯,在函数中可以将P0口的八种不同状态做成一维数组,循环执行即可,如下所示。当然也可以采用其它函授来实现,如左移一位<<1(或右移一位>>1),循环左移函授_crol_(或循环右移函授_cror_)等。 /****************************************************************** 流水灯

*******************************************************************/ #include "reg51.h" const tab[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; void delayms(unsigned int x) //延时 { unsigned int j; unsigned char k; for(j=0;j

1单片机并口简单应用(流水灯)

试验1 单片机并口简单应用(流水灯) 实验目的 1、了解单片机C语言程序的基本结构 2、了解单片机C语言程序的设计和调试方法 3、掌握顺序控制程序的简单编程 4、熟悉51单片机的端口使用 实验仪器 单片机开发试验仪、稳压电源、计算机 实验原理 1、硬件电路 如图1所示,流水灯硬件电路由发光二极管、单片机并口(P0)、限流电阻等组成。发光二极管连接成共阳极结构。发光二极管点亮的条件是:阳极接高电平、各阴极接低电平。因此,二极管公共端阳极就接成高电平,然后再按一定规则从P0口输出数据,发光二极管就会点亮。 图1 流水灯电路图 2、程序流程图如下。

实验内容:1、开发板接线图 延时 P0口初态循环右移1位 P0口状重新赋值0XFE 开始 P0口输出0XFE P0是否等于0X00 Y N

2、开发环境设置 打开keil软件,版本μ Vision2 ,μvision3,μvision4 都一样,在这里用μvision4版本演示,打开之后如下图,有的时候会默认打开上次使用的工程,单击Project 菜单,选择 Close Project 关闭了默认打开的工程,显示下图界面: 我们要建立新的工程,选择Project→ newμ vision projiect… 选择工程要保存的路径,输入工程文件名,如图

点击保存后会弹出一个对话框,要求用户选择单片机型号,可以根据用户使用的单片机来选择,我们使用的STC90单片机是兼容51内核的,Keil C51 几乎支持所有的51内核单片机,51内核具有通用型,如果程序用的资源不是太复杂,我们可以选择任意一款51单片机内核就行。例程以添加Atmel 的AT89C51来说明。如图,然后,单击确定(OK)。 功能描述 如果出现下面的界面:单击是就可以,意思是将单片机的启动代码添加到工程,我们不用修改。 到目前我们还没建立一个完整的工程,只是有工程的名字,框架,工程中还没有任何文件代码,(除了启动代码,有的keil 版本不显示启动代码),接下来我们添加文件及代码。 单击菜单File→New 选项,或者单击界面上的快捷图标,新建文件串口如下。

电力系统继电保护课程设计---线路距离保护的设计 兰州交通大学

电力系统继电保护课程设计 题目:线路距离保护的设计 班级: 姓名: 学号: 指导教师: 设计时间:

1 设计原始资料 1.1 具体题目 如下图所示网络,系统参数为: 3115=?E kV ,Ω=151G X 、Ω=102G X 、Ω=103G X ,6021==L L km 、403=L km ,50=-C B L km 、30=-D C L km 、30=-E D L km ,线路阻抗/4.0Ωkm ,2.1=Ⅰ rel K 、 15.1K ==ⅢⅡ rel rel K ,300max =-C B I A 、200max =-D C I A 、150max =-E C I A ,5.1=ss K ,85.0=re K 试对线路L1、L2、L3进行距离保护的设计。 1.2 要完成的内容 本文要完成的内容是对线路的距离保护原理和计算原则的简述,并对线路各参数进行分析及对线路L1、L2、L3进行距离保护的具体整定计算并注意有关细节。距离保护是利用短路时电压、电流同时变化的特征,测量电压与电流的比值,反应故障点到保护安装处的距离而工作的保护。 2 分析要设计的课题内容 2.1 设计规程 根据继电保护在电力系统中所担负的任务,一般情况下,对动作于跳闸的继电保护在技术上有四条基本要求:选择性、速动性、灵敏性、可靠性。这几个之间,紧密联系,既矛盾又统一,必须根据具体电力系统运行的主要矛盾和矛盾的主要方面,配置、配合、整定每个电力原件的继电保护。充分发挥和利用继电保护的科学性、工程技术性,使继电保护为提高电力系统运行的安全性、稳定性和经济性发挥最大效能。 (1)可靠性 可靠性是指保护该动作时应动作,不该动作时不动作。为保证可靠性,宜选用性

(交通运输)教案(兰州交通大学)精编

(交通运输)教案(兰州交 通大学)

(交通运输)教案(兰州交 通大学)

第壹章电气集中概述 车站联锁设备是保证站内运输作业安全、提高作业效率的铁路信号设备,它的控制对象是道岔、进路和信号机。将道岔、进路和信号机用电气方式集中控制和监督,且实现它们之间联锁关系的技术方法和设备称为电气集中联锁,用继电器实现联锁关系的称为继电式电气集中联锁(以下简称电气集中)。6502电气集中是我国目前应用最普通的壹种继电式电气集中联锁。 6502电气集中具有电路定型化程度高、逻辑性强,操作方法简便灵活、不易出错,维修、施工比较方便,符合故障—安全原则,易和区间闭塞设备及其他信号设备结合等优点;又是调度集中和调度监督的基础设备。因此,在我国铁路得到了广泛应用。 第壹节电气集中的组成 电气集中分为室内设备和室外设备俩大部分。 P1图1-1 室内设有控制台、区段人工解锁按钮盘、继电器组合及组合架、电源屏、分线盘等设备。 室外设有色灯信号机、电动转辙机、轨道电路、电缆线路及电缆连接箱盒等设备。 第二节继电器组合及组合架 壹、继电器组合类型 采用继电器定型组合的形式设计电路,不仅简化了设计,加快了设计过程,而且组合可在工厂预制,这就极大地缩短了施工工期。 6502电气集中的定型组合共有12种。 (壹)信号组合类型

LXZ、1LXF、2LXF、YX、DX、DXF (二)道岔组合类型 DD、SDZ、SDF (三)区段组合类型 Q (四)其他组合类型 F、DY 二、继电器组合的选用 (壹)进站信号机选用的组合 1.在双线单向运行区段,每架进站信号机相应选用YX和LXZ俩个组合。 2.在单线双向运行区段和双线双向运行区段,每架进站信号机应选择1LXF、YX、和LXZ三个组合。 3.当进站信号机内方有壹无岔区段和同方向的调车信号机时,因为进站和调车信号机之间没有道岔,可作为壹个信号点见待,壹般称为进站内方带调车,可不设DX组合,仅选用1LXF、YX和LXZ三个定型组合,再增选壹个零散组合(所谓零散组合就是根据具体情况设计的非定型组合)。 (二)出站兼调车信号机和发车进路信号机选用的组合 1.当只有壹个发车方向时,每架出站兼调车信号机应选用LXZ和1LXF俩个组合。 2.若有俩个或俩个之上发车方向时,则对每架出站兼调车信号机应选用LXZ 和2LXF俩个组合。 (三)调车信号机选用的组合

最新五种编程方式实现流水灯的单片机c程序讲课教案

五种编程方式实现流水灯的单片机C程序 //功能:采用顺序结构实现的流水灯控制程序 /*此方式中采用的是字操作(也称为总线操作)*/ #include void delay(unsigned char i); //延时函数声明 void main() //主函数 { while(1) { P1 = 0xfe; //点亮第1个发光二极管,0.000389s delay(200); //延时 P1 = 0xfd; //点亮第2个发光二极管,0.155403s,0.1558 delay(200); //延时 P1 = 0xfb; //点亮第3个发光二极管 delay(200); //延时 P1 = 0xf7; //点亮第4个发光二极管 delay(200); //延时 P1 = 0xef; //点亮第5个发光二极管 delay(200); //延时 P1 = 0xdf; //点亮第6个发光二极管 delay(200); //延时 P1 = 0xbf; //点亮第7个发光二极管 delay(200); //延时 P1 = 0x7f; //点亮第8个发光二极管 delay(200); //延时 } } //函数名:delay //函数功能:实现软件延时 //形式参数:unsigned char i; // i控制空循环的外循环次数,共循环i*255次 //返回值:无 void delay(unsigned char i) //延时函数,无符号字符型变量i为形式参数{ unsigned char j, k; //定义无符号字符型变量j和k for(k = 0; k < i; k++) //双重for循环语句实现软件延时 for(j = 0; j < 255; j++); } //功能:采用循环结构实现的流水灯控制程序 //此方式中采用的移位,按位取反等操作是位操作 #include //包含头文件REG51.H void delay(unsigned char i); //延时函数声明 void main() //主函数

相关文档
最新文档