数字电路试验指导书

数字电路试验指导书
数字电路试验指导书

第一篇数字电路实验指导书

实验一集成逻辑门的功能测试与数字箱的使用

一、实验目的

1、了解数字实验箱的原理,掌握其使用方法

2、掌握基本门电路逻辑功能的测试方法

3、了解TTL和CMOS器件的使用特点

二、实验一起及实验器件

1、数字实验箱

2、20MHz双踪示波器

3、500型万用表

4、实验器件:

74LS00 1片CD4001 1片

74LS86 1片CD4011 1片

三、实验任务

(一)数字实验箱的使用

1、用500型万用表分别测出固定直流稳压源的出去电压值

2、用500型万用表分别测出十六路高低电平信号源和单次脉冲信号源的高低电平值,并观

察单次脉冲前后沿的变化

3、用示波器测出连续冲源的频率范围及幅度Vp-p值

4、分别用十六路高低电平信号源:单次脉冲信号源检查十六路高低电平指示灯的好坏(二)集成逻辑门的功能测试

1、分别写出74LS00,74LS86,CD4001,CD4011 1的逻辑表达式,列出其真表值,并分别

对其逻辑功能进行静态测试

2、用74LS00完成下列逻辑功能,分别写出逻辑表达式,画出逻辑图,测试其功能。

四、预习要求

1、复习数字试验箱的组成和工作原理

2、复习TTl和CMOS电路的命名,分别及使用规则

3、认真查阅实验器件的功能表和管脚图

4、列出实验任务的记录数据表格,写出实验的方法、步骤,画出实验电路

实验二集成逻辑门的参数测试

一、实验目的

1、熟悉集成逻辑门主要参数的意义

2、掌握集成逻辑门主要参数的测试方法

3、了解TTL器件和CMOS器件的使用特点

二、实验仪器与器件

1、数字实验箱

2、20MHz双踪示波器

3、500型万用表

4、实验器件:

74LS20 1片CD4012 1片

三、实验任务

1、TTL与非门的主要参数的测试

①测试74LS20的空载功耗(Pccl、Pcch),低电平输入电流Iil,高电平输入电流Iih。

②用图形法测试74LS20的电压传输特性,读出相应的Uoh,Uol,Uon,Uoff

③根据参数定义,分别测出Uoh,Uol,Uon,Uoff。将测试结果与上述②的结果进行比较

④测试74LS20的扇出系数No和平均传输延迟时间tpd

2、CMOS与非门主要参数的测试

给定Vdd=10V,测试CD4021的静态电流ldd,计算静态功耗

给定Vdd=5V,用图形法测试CD4021的电压传输特性,分析其特点。并找出Uoh,Uol,UonUoff值

测试CD4012的平均传输延迟时间

四。、预习要求

1、复习TTL与非门和CMOS与非门主要参数的含义及其测试方法

2、认真阅读附录中74LS20和CD4012的主要参数的规范及测试条件

3、写出各实验任务的实验方法,步骤画出测试电路和记录数据的表格。

实验三组合逻辑电路的设计

一、实验目的

1、掌握组合逻辑电路的设计方法

2、观察组合逻辑电路的冒险现象,了解冒险现象消除的方法

二、实验仪器与器件

1、数字试验箱

2、20MHz双踪示波器

3、500型万用表

4、实验器件:

74LS00 3片

CD4011 2片

74LS20 3片

三、实验任务

1、组合逻辑电路的实际

设计以下三个题目、要求:

写出详细的设计方法、步骤

画出完整的逻辑电路图

验证结果

设计课题

设计一个优先排队电路,排队顺序为:

A=“1”最优先级

B=“1”次优先级

C=“1”普通级

要求:输出端最多只能有一端为“1”

设计一个四人无弃权表决的电路(多数赞成则通过)

设计一个一位全加速

2、观察和消除冒险现象

在输入只提供原变量条件下,用CD4011实现函数:

F=AB+BC

当A=C=“1”时,在B端送f=200KHz~1MHz的方波信号,观察冒险现象

在上的基础上,利用增加校正项的方法,消除冒险,并观察波形。

四、预习要求

1、复习组合逻辑电路的设计方法,步骤

2、复习冒险现象产生的原因及其消除的方法

3、根据实验要求,画出电路,写出实验的方法,步骤

实验五译码器、数据选择及其应用

一、实验目的

熟悉译码器、数据选择器的逻辑应用

掌握译码器、数据选择器的使用方法

二、实验仪器与器件

数字试验箱

20MHz双踪示波器

500型万用表

实验器件

74LS138 1片

74LS48 1片

74LS153 2片

74LS00 1片

LED七段数码管1只

三、实验任务

1、利用74LS138实现函数F=ABC+ABC

2、利用74LS153构成一个全方位数码管组成实验电路,验证74LS48的逻辑功能

3、利用74LS153构成一位全加速,要求列出其真值表,写出逻辑表达式,画出逻辑电路图,

并验证

4、利用74LS153和74LS138组成三位并行码比较器,要求当两个数相等时,输出为“0”,

否则输出为“1”

5、利用74LS153、74LS48和一位LED七段数码管,实现四位数的串行显示,这四位二进

制码依次为(0001)(1001)(1000)(0010)

四、预习要求

1、熟悉译码器、数据选择器的工作原理及使用方法

2、根据实验任务要求,画出实验电路,写出设计过程

实验六集成触发器机器应用

一、实验目的

1、掌握用与非门组成基本R-S触发器的功能和使用方法、

2、掌握集成D、JK触发器的逻辑功能和使用方法

3、了解锁存器的功能

二、实验仪器与器件

1、数字实验箱

2、200MHz双踪示波器

3、500型万用表

4、实验器件:

74LS373 1片74LS107 1片

74LS74 2片74LS00 1片

电阻10K 2只

三、实验任务

1、用74LS00组成一个无抖动开关电路,并验证其功能

2、测试74LS107的逻辑功能

3、测试74LS74的逻辑功能

4、试用JK触发器和D触发器分别构成一个二分频和四分频电路,记录输入,输出波形

5、利用74LS74设计一个九进制异步计数器,观察并记录各级波形。

6、测试74LS373的逻辑功能。用其组成8位双向总线驱动器,并验证功能

四、预习要求

1、复习各种触发器的逻辑功能机器触发方式

2、复习利用触发器设计同步计数器和异步计数器的方法

3、画出实验任务的电路图,写出实验的方法、步骤。画出有关电路的理论波形

实验七集成计数器及其应用

一、实验目的

1、掌握中规模集成计数器的工作原理和任意进制计数器的设计方法。

2、熟悉计数、译码、显示电路的使用方法。

二、实验仪器与器件

1、数字实验箱

2、20MHz双踪示波器

3、500型万用表

4、实验器件:

74LS160 2片LED七段数码管2片

74LS48 2片74LS00 1片

三、实验任务

1、测试74LS160的置数、清零、计数功能。用示波器同时观察CP端Qo端的波形

2、用74LS160分别构成5分频、6分频的分频电路,并用示波器同时观察输入、输出波形

3、根据给定器件,分别设计一个数字钟用的二十四进制和六十进制的计数器,并显示其结

四、预习要求

1、认真学习附录中74LS160的逻辑功能、引脚作用及时序要求。

2、复习74LS48、LED数码管的使用方法

3、根据给定实验任务要求设计电路,画出有关电路的理论波形。

4、拟定实验的方法、步骤

实验八移位寄存器及其应用

一.实验目的

1.掌握移位寄存器CD40194的逻辑功能及其测试方法

2.掌握移位寄存器的主要应用

二.实验仪器与器件

1.数字实验箱

2.20MHz双踪示波器

3.500型万用表

4.实验器件:

74LS74 1片74LS20 1片

74LS00 1片CD40194 2片

四、实验任务

1、CD40194的功能测试。

分别测试CD40194的清除、左移、右移、并行置数和保持的功能

2、利用2片CD40194组成8位移寄存器,并验证其功能。

3、利用cd40194组成8位串入变并出和并入变串出的交换电路,并验证其功能

五.预习要求

1.复习移位寄存器的工作原理及设计方法

2.复习序列信号发生器的设计方法

3.画出实验电路,拟定实验的方法,步骤。列出数据表格

实验九脉冲分配器及其应用

一、实验目的

1.熟悉利用CD40194构成位移型脉冲分配器的方法

2.掌握节拍分配器的应用

二、实验仪器与器件

1.数字实验箱

2.20MHz双踪示波器

3.500型万用表

4.实验器件:

74LS00 1片CD40194 2片

CD4017 2片

三、实验任务

1.利用CD40194设计一个能自启动的环形计数器,观察和记录计数器的工作状态和输出

波形

2.利用CD40194设计一个能自启动的扭环计数器,观察和记录计数器的工作状态和输出

波形

3.测试CD4017的逻辑功能

4.利用cd4017分别组成3分频和7分频电路,观察和记录输入,输出波形

5.利用CD40194设计一个六分频的电路

四、预习要求

1.复习环形计数器和扭环计数器的工作原理及设计自启动位移计数器的方法

2.复习计数器型分配器的工作原理

3.认真阅读附录中CD40194和CD4017的功能表和说明

4.根据实验任务画出实验电路,写出测试方法、步骤。列出记录的数据表格

实验十集成单稳态触发器及其应用

一、实验目的

1.掌握集成单稳态触发器的功能和特性

2.熟悉集成单稳态触发器的典型应用

二、实验仪器与器件

1.数字实验箱

2.20MHz双踪示波器

3.函数发生器

4.500型万用表

5.实验器件

74LS121 2件74LS123 1件

74LS14 1片

三、实验任务

1.利用2片74LS121设计一个多谐振荡器,要求产生频率为1KHz的方波,试选取R、C

值,并用示波器测出方波的幅度、频率和占空比。

2.试用74LS121分别组成3分频、4分频的电路。当单稳态触发器输入信号为f=1KHz的

方波时,试分别选取R、C值

3.利用74LS123设计一个下降沿延迟电路,要求对输入的矩形脉冲的下降沿延迟20us,要

求:

画出实验电路。当选取外接定时电容C=0.01uF时,试计算外接定时电阻值

观察电阻值

4.利用74LS14设计一个整形电路,要求正弦波、三角波变换成矩形波,观察记录输入、

输出波形,并测出Ut、Ut和△Ut值

四、预习要求

1.熟悉所给器件的引脚排列,功能表及详细说明

2.根据实验任务要求,画出实验电路,选定有关参数,拟定实验方法、步骤

实验十一555定时器及其应用

一、实验目的

1.了解555定时器的结构及工作原理

2.掌握555定时电路的应用

二、实验仪器与器件

1.数字实验箱

2.20MHz双踪示波器

3.函数信号发生器

4.500型万用表

5.实验器件:

NE555 1片电阻100K 3只 2.4K 1只

电位器10K 电容10uF 1只1200PF1只

三、实验任务

1、用NE555组成施密特触发器,给定Vop=+5V,Vipp=5V,要求:

用示波器同时观察测当输入信号为正弦波、三角波、方波时的输入、输出波形,并分别测出输入信号和输出信号的峰-峰值和周期

分别测出输入信号为正弦波,三角波,方波的最小触发电平值Vip-p

2、用NE555组成单稳态电路。给定V=5v,输出脉冲宽度Tp=1ms,充电电阻R=6.8K欧姆,

要求:

计算出C值

用示波器分别对比观测和记录U,Ur,Up的波形,并分别测出其电压峰-峰值和脉冲宽度

观察输入触发脉冲为不同宽度和幅度时,对输出脉冲的影响,并测出最小输入触发脉冲的幅度

3、用NE555组成多谢振荡器,给定V=5V,振荡频率为fo=100KHz,R1+R2=6.8K欧姆。

D=60%。要求:

计算R1、R2、C值

观察振荡器Ui,Uo波形,并测出T1,T2,T值和输出信号峰-峰值

四、预习要求

1.复习NE555定时器的结构及工作原理

2.了解NE555定时器的各种应用

3.根据实验任务要求,画出实验电路,计算各元件值。拟定实验方法、步骤。列出记录的

数据表格。

实验十二多路模拟开关及其应用

一、实验目的

1.了解多路模拟开关的组成及工作原理

2.掌握模拟开关功能的测试方法

3.掌握模拟开关的应用

二、实验仪器与器件

1.数字实验箱

2.20MHz双踪示波器

3.直流稳压电源

4.500型万用表

5.实验器件:

74LS86 1片CD4066 2片

74LS163 1片CD4028 1片

CA741 1片CD4051 1片

电阻6.8K 7只

五、实验任务

1.利用CD4028设计一个八通道模拟数据选择器,画出电路,列表验证其结果。

2.利用CD4051设计一个传送正负极性的交流信号,给定:

Vdo=5V,Vss=0V,Vee=-5V,地址输入数字信号电平为TTL电平,模拟信号Vip-p=<5V。要求:

在八个模拟输入端,分别加不同频率的正弦信号,用示波器观察OUT/IN端的波形,验证CD4051的功能

将正弦信号改在OUT/IN端输入,用示波器分别观察八路模拟输入波形,验证CD4051的功能

按方框图设计一个用数字信号合成三角波的电路。

给定:输入方波信号为TTL电平,频率F=16KHz

要求:

记录U1,OUT端波形

测出OUT端波形的峰峰值和频率

使输入方波信号频率F=32KHz时,重复上面

六、预习要求

1.认真查阅CD4066,CD4051等给定器件的工作原理,引脚说明及使用说明。

2.画出各实验任务的电路,弄清其工作原理

3.写出实验任务的实验方法,步骤,列出记录的数据表格

实验十四ROM、RAM的功能测试

一、实验目的

1.ROM、RAM的工作原理。

2.掌握ROM、RAM芯片的功能测试。

3.会正确使用ROM、RAM芯片。

二、实验仪器与器件

1.数字试验箱

2.直流稳压电源

3.500型万用表

4.实验器件:

74LS373 1片2716 1片

74LS163 2片2114 2片

三、实验任务

1、2716芯片功能测试

画出从2716芯片读出数据的电路,从给定单元地址100H—10FH中,分别读出数据,用发光二极管显示其读出结果,并与给定的数据相比较。

2、2114芯片的功能测试。

利用2114芯片画出写入和读出数据的电路,要求:

1.向2114芯片单元地址080H---08FH中,分别写入预定数据。

2.在1的基础上,从2114芯片单元地址080H---08FH中,分别读出数据,用发光二

极管显示其读出结果,并与给定的数据相比较。

3、根据图3---18—1所示框图,设计EPROM、RAM的实验电路。

要求:

1.从2716芯片的100H---10FH单元中,分别读出数据,并经373送8位LED发

光二极管显示其结果,与预定值相比较。

2.从2716芯片中,分别读取16个数据,依次写入2114芯片的相应单元中。

2716的单元地址为:100H—10FH。

2114的单元地址为:080H—08FH。

3、在2的基础上,再从2114芯片080H—08FH的单元地址中,逐个读出数据,

并经373送8位LED发光二极管显示,并与1的结果相比较。

四、预习要求

1、认真阅读2716、2114等给定芯片的引脚排列及其说明,弄清楚使用方法及注意事

项。

2、根据实验任务及要求,画出电路,弄清工作原理及工作时序关系。

3、详细拟定实验的方法、步骤。

4、列出记录的数据表格

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电路 实验简答题

1.如何通过示波器观察法确定触发器是上升沿触发还是下降沿触发? 答: 将输入信号和输出信号同时在双踪示波器上显示,输出在输入的下降沿变化,就是下降沿触发,反之就是上升沿 2.用TTL与非门组成反相器时,其多余输入端应如何处理?用TTL或非门组成反相器时,其多余输入端应如何处理?答: 与非门的任意一个输入端是低电平,则其它的输入端无论怎样变化,输出永远是高电平,所以,与非门多余的输入端必须要接高电平或电源正极,否则会阻断其它输入端的信号的。将或非门的多个输入端中的一个脚作输入,其余输入脚的都接低电平或电源负极,这样接法的输入输出也是一反向器. 3.在TTL与非门某输入端接10K电阻时相当于输入逻辑1还是逻辑0?如果接100欧姆时相当于输入逻辑1还是逻辑0? 答: 与非门输入端串接10K或100欧电阻只属于限流电阻,并不能代表高低电平信号。若输入的是高电平信号经10K或100欧电阻在与非门输入端时,输入逻辑为1;相反,若输入的是低电平信号经10K或100欧电阻在与非门输入端时,输入逻辑为0。 4.用示波器双通道观察某计数器的时钟信号与其某输出端信号的波形时,如何选择触发源才能使两波形都稳定?答: 以较低的频率信号作为触发源!在低频率信号的一个周期内,同时也很容易看到较高频率的另一个信号完整的一个周期以上的信号的情况。而反过来如果是以较高的频率信号作为触发源,那么由于周期短,需要数个周期才能容纳下低频信号的一个完整波形,所以就不易稳得下来。 5.用示波器测交流信号的幅度时,测的是什么?它与用万用表测的交流有效值之间的关系是什么?

答: 测的是电压值最大值;示波器测量电压是测量的瞬间电压状态(的图形),因 此我们可以得到被测量量的多项参数,比如,频率、波形、峰值等等。而用万用表只能得到被测量电压的有效值根号2倍 6.0设用示波器测量V=10V的直流电平,问示波器Y通道的电压灵敏度能否选择1V/div?如果测量V=-3V,电压灵敏度为500mv/div,示波器显示的波形相对于0电平的位置将向什么方向变化,变几个格? 答: 不能选择1V/div,超过示波器的显示范围了; 7.555定时器试验中,第5管脚为什么要经一个电容接地?第4管脚为什么要接+5V? 答:5脚为电压控制端,在此端外加电压可以改变比较器的参考电压,不用时,经 0.01uF的电容接地,以防止引入干扰;4脚为复位端,输入负脉冲(或使其电压低于 0.7V)可使555定时器直接复位 8.在555定时器构成的多谐振荡器试验中,如何用示波器测量电容c上电压的最小值和最大值?此时,Y通道的输入耦合方式应如何选择? 答: 先接地,将波形调至中间后断开接地,用水平光标测量最大最小值;DC直流 9.在数字电路试验箱上,如何用简单的方法测试与非门的好坏?

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

最新数字逻辑电路指导书合集

实验一 门电路逻辑功能及测试 一.实验目的 1.熟悉门电路逻辑功能 2.熟悉数字电路学习机使用方法 二.实验仪器及材料 1.DVCC-D2JH 通用数字电路实验箱 2.器件 74LS00 二输入端四与非门 1片 74LS08 二输入端四与门 1片 74LS86 二输入端四异或门 1片 74LS32 二输入端四或门 1片 2、按附录中引脚图接线,分别验证或门74LS32、与门74LS08、异或门74LS86的逻辑功能 3、信号对门的控制作用 利用与非门控制输出.

用一片74LS00按图接线, S接任一电平开关,用发光二极管观察 S对输出脉冲的控制作用. 四.实验报告 1.按各步聚要求填表。 2.回答问题: (1)怎样判断门电路逻辑功能是否正常? (2)与非门一端输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 实验二组合逻辑电路(半加器、全加器及逻辑运算) 一、实验目的 1、掌握组合逻辑电路的功能测试 2、验证半加器和全加器的逻辑功能 二、实验器件 74LS00 二输入端四与非门1片 74LS86 二输入端四异或门1片 74LS32 二输入端四或门1片 74LS08 二输入端四与门1片 三、实验内容 1、测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或, 而进位Z是A、B相与。故半加器可用一个 集成异或门和二个与非门组成如右图 (1)在学习机上用异或门和与门接成以上电路。 A、B接电平开关Y、Z接电平显示。 (2)按下表要求改变A、B状态,填表

2、测试全加器的逻辑功能。 (1)按右图接线,A 、B 、C 接电平开关, SO 、C 接发光二极管 (2)按下表要求改变A 、B 、C 状态,填表 四、实验报告 (1)按要求填表 (2)分析如何使用适当的门电路实现半加器与全加器的功能 实验三 译码器、数据选择器和总线驱动器

成贤数字电路实验习题答案

数字电路习题答案(第一、二次实验) 2009-12-18 09:10 实验一: 1. 在示波器处于“内触发”、“常态”扫描方式时,若改变电压灵敏度(V/div),特别是降低它,则可能会使信号波形消失。问若是“外触发”,是否也会影响信号波形的显示呢? 解:这道题主要从以下几种情况来分析: A.示波器是“内触发”,而误打到“外触发”的情况下,如果是“自动”扫描方式,示波器有波形显示,但是不会稳定;如果是“常态”扫描方式,示波器没有波形显示; B.示波器确实是“外触发”,则要求外触发信号与被测信号的频率和相位都相关,这时波形才有可能稳定。 C.示波器在“外触发”工作时,若改变电压灵敏度,会影响波形的显示。当扫描方式为“常态”时,如果降低它,可能会使波形消失,原因是降低了电压灵敏度的同时也降低了触发信号的电平,当触发电平降低到一定的程度,就不足以使触发器工作,触发器不工作,扫描发生器也就不能工作产生扫描电压,波形就消失了。 2. 实验内容3中,如何用示波器观察CH1+CH2的波形? 解:要观察CH1+CH2的波形,只要使示波器的显示方式处于“叠加”,同时保证CH1和CH2的电压灵敏度保持一致就可以了。 3. 简述用示波器测量TTL高、低电平的步骤。 解:将函数发生器输出TTL波形(f=1kHz)接到示波器一个通道上;示波器扫描方式打“AUTO”;电压灵敏度选择旋钮和时基因数选择旋钮处于适当的位置(比如1V/div和0.2ms/div);微调旋钮都处于“校准”位置;把输入耦合方式打到“GND”,确定零电平线的位置,再打到“DC”,读出高低电平值。 4. 对于方波和三角波,交流毫伏表的指示值是否它们的有效值?如何根据交流毫伏表的指示值求得方波或三角波的有效值?

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

数字电路实验指导书

第一章单元实验 实验一逻辑门电路的研究 一、实验目的: 1.分析“门”的逻辑功能。 2.分析“门”的控制功能。 3.熟悉门电路的逻辑交换及其功能的测试方法。 二、实验使用仪器和器件: 1.数字逻辑电路学习机一台。 2.万用表一块。 三、实验内容和步骤: 1.TTL集成门逻辑功能的测试: ⑴“与非门”逻辑功能的测试: 在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。 表1 ⑵用“与或非”门实现Z=AB+C的逻辑功能: 在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2 注意:测试前应将与或非门不用的与门组做适当处理。 2.“门”控制功能的测试: ⑴“与非”门控制功能的测试: 按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。 图1 “与非门”控制功能测试电路 表3 ⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:Z=A+B “与”门:Z=AB “或非”门:Z=A+B “与或”门:Z=AB+CD 要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。 四、预习要求: 要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。 五、实验报告要求: 总结“与非”、“与”、“或”、“或非”门的控制功能。 六、思考题: 1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理? 2.与或非门不用的与门组如何处理?

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

数字电子技术实验练习内容

数字电子技术实验练习内容标准化文件发布号:(9312-EUATWW-MWUB-WUNN-INNUL-DQQTY-

数字电子技术实验练习内容 实验二 TTL与非门的应用 一、实验内容 1.用五个二输入与非门设计一个半加器。 2.用二输入与非门设计一个三开关控制同一灯泡电路,要求三个开关能够独立控制灯泡的亮灭。 3.用一个四输入与非门和三个二输入与非门设计一个电路,实现函数 ∑ ,9,8,7,6,5,4( 10 , ) ABCD (m F。要求只有原变量输入、没有反变量 , 14 =) 11 , 13 , 12 输入。 4.用九个二输入与非门设计一个一位全加器。 二、思考题 1.TTL门电路的闲置输入端应如何处理 2.写出影响TTL与非门扇出系数的两个重要参数的概念。 3.TTL门电路的电压传输特点是什么 实验三 CMOS与非门的应用 一、实验内容 1.用CD4011与非门设计一个同或门电路和一个异或门电路。 2.利用一块CD4011设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关熄灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关熄灭电灯。 3.密码锁共有三个按钮,当三个按钮未按下或第一个按钮单独按下时,锁既不打开也不报警;只有当三个按钮同时按下、或者第一个第二个按钮同时按下、或者第一个第三个按钮同时按下时,锁才能被打开,当按下按钮不属于上述状况时,将发出报警信息。要求:用两块CD4011设计逻辑电路,使用的与非门数量最少,以达到最佳设计方案。 二、思考题 1.CMOS集成电路或门、或非门的闲置输入端如何处理 2.CMOS集成电路的电压传输特性有什么特点 3.CMOS集成与非门、与门的闲置输入端如何处理 实验五组合逻辑电路的设计 一、实验内容 1.用74LS86和74LS00设计四开关控制同一灯泡电路,要求四个开关能够独立控制灯泡的亮灭。 2.用74LS86、CD4081、CD4071设计一个一位全加器电路。 3.用异或门、与门设计一个半加器电路。 4.用异或门和与非门设计一个一位全加器电路。 二、思考题 1.74LS54与或非门的闲置端如何处理

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

相关文档
最新文档