高频压控振荡器设计

高频压控振荡器设计
高频压控振荡器设计

前言 (1)

1高频压控振荡器设计原理压控振荡器 (2)

1.1工作原理 (2)

1.2变容二极管压控振荡器的基本工作原理 (2)

2高频压控振荡器电路设计 (4)

2.1设计的资料及设备 (4)

2.2变容二极管压控振荡器电路的设计思路 (4)

2.3变容二极管压控振荡器的电路设计 (4)

2.4实验电路的基本参数 (5)

2.5实验电路原理图 (6)

3高频压控振荡器电路的仿真 (7)

3.1M ULTISIM软件简介 (7)

3.2M ULTISIM界面介绍 (8)

3.2.1电路仿真图 (9)

3.2.2压控振荡器的主要技术指标 (9)

3.3典型点的频谱图 (9)

4高频压控振荡器电路实现与分析 (16)

4.1实验电路连接 (16)

4.2实验步骤 (16)

4.3实验注意事项 (18)

4.4硬件测试 (19)

5心得体会 (21)

参考文献 (22)

压控振荡器广泛应用于通信系统和其他电子系统中,在LC振荡器决定振荡器的LC 回路中,使用电压控制电容器(变容管),可以在一定的频率范围内构成电调谐振荡器。这种包含有压控元件作为频率控制器件的振荡器就称为压控振荡器。它广泛应用与频率调制器、锁相环路以及无线电发射机和接收机中。

压控振荡器是锁相环频率合成器的重要组成单元,在很大程度上决定了锁相环的性能。在多种射频工艺中,COMS工艺以高集成度、低成本得到广泛的应用。

压控振荡器(VCO)在无线系统和其他必须在一个范围的频率内进行调谐的通信系统中是十分常见的组成部分。许多厂商都提供VCO产品,他们的封装形式和性能水平也是多种多样。现代表面的贴装的射频集成电路(RFIC)VCO继承了近百来工程研究成果。在这段历史当中。VCO技术一直在不断地改进中,产品外形越来越小而相位噪声和调谐线性度越来越好。

对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄;RC压控振荡器的频率稳定度低而调频范围宽,LC压控振荡器居二者之间。

压控振荡器可分为环路振荡器和LC振荡器。环路振荡器易于集成,但其相位噪声性能比LC振荡器差。为了使相位噪声满足通信标准的要求,这里对负阻RC压控振荡器进行了分析。

1高频压控振荡器设计原理压控振荡器

1.1工作原理

压控振荡器指输出频率与输入控制电压有对应关系的振荡电路,常以符号(VCO)(Voltage Controlled Oscillator)。其特性用输出角频率ω0与输入控制电压uc之间的关系曲线(图1)来表示。图1中,uc为零时的角频率ω0称为自由振荡角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。

图1 压控震荡器的控制特性

在电子设备中,压控振荡器的应用极为广泛,如彩色电视接收机高频头中的本机振荡电路、各种自动频率控制(AFC)系统中的振荡电路、锁相环路(PLL)中所用的振荡电路等均为压控振荡器。振荡器输出的波形有正弦型的,也有方波型的。

1.2变容二极管压控振荡器的基本工作原理

在振荡器的振荡回路上并接或串接某一受电压控制的电抗元件后,即可对振荡频率实行控制。受控电抗元件常用变容二极管取代。

图2 变容二极管压控振荡器原理图

变容二极管的电容量Cj 取决于外加控制电压的大小,控制电压的变化会使变容管的Cj 变化,Cj 的变化会导致振荡频率的改变。

对于图中,若C1、C2值较大,C4又是隔直电容,容量很大,则振荡回路中与L 相并联的总电容为: '4

13221321]123[C Cj C C C C C C C C C Cj C C C Cj C +=+++=+=串串 变容管是利用半导体PN 结的结电容受控于外加反向电压的特性而制成的一种晶体二极管,它属于电压控制的可变电抗器件,其压控特性的典型曲线如图所示。图中,反向偏压从3V 增大到30V 时,结电容Cj 从18pF 减小到3pF ,电容变化比约为6倍。 对于不同的Cj ,所对应的振荡频率为

)'(21

max C C L f jmix +=π(VR 为最大)

'21

max min C C f j +=π(VR 为最小)

通常将fmax 和fmin 的比值称为频率覆盖系数,以符号Kf 表示,上述振荡回路的频率覆盖系数为

图3 振荡回路的频率覆盖系数 '

'f f min max min jmax f C C C C K j j ++==

2高频压控振荡器电路设计

2.1设计的资料及设备

模拟电子线路、高频电子线路;软件Multisim 10;计算机一台实验箱

2.2变容二极管压控振荡器电路的设计思路

本设计主要通过振荡器电路产生2.2GHZ-2.5GHZ的振荡频率。设计的大体框图图4所示

2.3变容二极管压控振荡器的电路设计

图5高频压控振荡器设计电路

压控振荡器模块在RZ 9905-R微波接收实验系统箱内,电路如图5所示,它由T1,T2两只晶体三极管及变容二极管T3等电路组成,T1,T3及周围电路组成频率可变的电容反馈三点式振荡器(又称考必兹振荡器),其等效电路如图6所示。回路电容Cec,Ceb为晶体管极间电容,Lb,C1,T3串联后构成回路电感。Lb为晶体管基极引线电感,约为10nH。变容二极管T3的作用是,当外加控制电压经电阻R1加到它上面,变容管T3的等效电容随外加电压变化而攺变,因此图6所示电路中振荡回路的自然谐振频率随之改变。从而,当外加控制电压变化时,能攺变压控振荡器的振荡频率。该压控振荡

器的频率约为2.2-2.5GHz,由于振荡频率高,晶体管的极间电容、引线电感等参数对振荡频率及工作状态都有很大影响,因此,微波模块对元件、布线、工艺、焊接等的要求非常高。

图6 压控振荡器等效电路

图6中,T2及周围电路为压控振荡器的放大输出级。R5,R6,R7构成 型衰减器,它使压控振荡器和放大输出级隔离,有利于提高压控振荡器的频率稳定度。L1,L2,L3,L4,L5为高频扼流圈,它们的作用是为两晶体三极管各极提供合适的直流电压。本模块供电电压为12伏,压控振荡信号从C6输出,其电平约为0dbm。为了在线测量,压控振荡信号经衰减器送至压控振荡器输出测量接头,电平约为-10dbm。

2.4实验电路的基本参数

(1)工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“MHz”或“GHz”。

(2)输出功率:在工作频段内输出功率标称值,用Po表示。通常单位为“dBmw”。

(3)输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△P表示,通常单位为“dBmw”。

(4)调谐灵敏度:定义为调谐电压每变化1V时,引起振荡频率的变化量,用MHz/△VT表示,在线性区,灵敏度最高,在非线性区灵敏度降低。

(5)谐波抑制:定义在测试频点,二次谐波抑制=10Log(P基波/P谐波)(dBmw)。

(6)推频系数:定义为供电电压每变化1V时,引起的测试频点振荡频率的变化量,用MHz/V表示。

(7)相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振

f0为fm的带内,各杂散能量的总和按fin平均值+15f0点频谱能量之比,单位为dBC/Hz;相位噪声特点是频谱能量集中在f0附近,因此fm越小,相噪测量值就越大,目前测量相噪选定的fm有离F0 1KHz、10KHz和100KHz几种,根据产品特性作相应规定。产生相噪的因素主要是寄生寄相,但影响寄生寄相的因素较多,较为复杂,不同VCO 产品

在提高相噪指标方面都会采取相应设计思路和工艺措施。

(8)3dB调制带宽:是指特定用途的VCO在作调频使用时,调制信号(视频)为1V P-P时,产生的调频频带宽度,主要由双端压控作调频时用户的要求作出设计。2.5实验电路原理图

图7实验电路原理图

3高频压控振荡器电路的仿真

3.1Multisim软件简介

1、NI Multisim 10是美国国家仪器公司(NI,National Instruments)最新推出的Multisim最新版本。

2、目前美国NI公司的EWB的包含有电路仿真设计的模块Multisim、PCB设计软件Ultiboard、布线引擎Ultiroute及通信电路分析与设计模块Commsim 4个部分,能完成从电路的仿真设计到电路版图生成的全过程。Multisim、Ultiboard、Ultiroute及Commsim 4个部分相互独立,可以分别使用。Multisim、Ultiboard、Ultiroute及Commsim 4个部分有增强专业版(Power Professional)、专业版(Professional)、个人版(Personal)、教育版(Education)、学生版(Student)和演示版(Demo)等多个版本,各版本的功能和价格有着明显的差异。

3、NI Multisim 10用软件的方法虚拟电子与电工元器件,虚拟电子与电工仪器和仪表,实现了“软件即元器件”、“软件即仪器”。NI Multisim 10是一个原理电路设计、电路功能测试的虚拟仿真软件。

4、NI Multisim 10的元器件库提供数千种电路元器件供实验选用,同时也可以新建或扩充已有的元器件库,而且建库所需的元器件参数可以从生产厂商的产品使用手册中查到,因此也很方便的在工程设计中使用。

5、NI Multisim 10的虚拟测试仪器仪表种类齐全,有一般实验用的通用仪器,如万用表、函数信号发生器、双踪示波器、直流电源;而且还有一般实验室少有或没有的仪器,如波特图仪、字信号发生器、逻辑分析仪、逻辑转换器、失真仪、频谱分析仪和网络分析仪等。

6、NI Multisim 10具有较为详细的电路分析功能,可以完成电路的瞬态分析和稳态分析、时域和频域分析、器件的线性和非线性分析、电路的噪声分析和失真分析、离散傅里叶分析、电路零极点分析、交直流灵敏度分析等电路分析方法,以帮助设计人员分析电路的性能。

7、NI Multisim 10可以设计、测试和演示各种电子电路,包括电工学、模拟电路、数字电、射频电路及微控制器和接口电路等。可以对被仿真的电路中的元器件设置各种故障,如开路、短路和不同程度的漏电等,从而观察不同故障情况下的电路工作状况。在进行仿真的同时,软件还可以存储测试点的所有数据,列出被仿真电路的所有元器件清单,以及存储测试仪器的工作状态、显示波形和具体数据等。

8、NI Multisim 10有丰富的Help功能,其Help系统不仅包括软件本身的操作指南,更要的是包含有元器件的功能解说,Help中这种元器件功能解说有利于使用EWB进行CAI教学。另外,NI Multisim10还提供了与国内外流行的印刷电路板设计自动化软件Protel及电路仿真软件PSpice之间的文件接口,也能通过Windows的剪贴板把电路图

送往文字处理系统中进行编辑排版。支持VHDL和Verilog HDL语言的电路仿真与设计。

9、利用NI Multisim10可以实现计算机仿真设计与虚拟实验,与传统的电子电路设计与实验方法相比,具有如下特点:设计与实验可以同步进行,可以边设计边实验,修改调试方便;设计和实验用的元器件及测试仪器仪表齐全,可以完成各种类型的电路设计与实验;可方便地对电路参数进行测试和分析;可直接打印输出实验数据、测试参数、曲线和电路原理图;实验中不消耗实际的元器件,实验所需元器件的种类和数量不受限制,实验成本低,实验速度快,效率高;设计和实验成功的电路可以直接在产品中使用。

10、NI Multisim 10易学易用,便于电子信息、通信工程、自动化、电气控制类专业学生自学、便于开展综合性的设计和实验,有利于培养综合分析能力、开发和创新的能力。

11、电源/信号源库包含有接地端、直流电压源(电池)、正弦交流电压源、方波(时钟)电压源、压控方波电压源等多种电源与信号源。基本器件库包含有电阻、电容等多种元件。基本器件库中的虚拟元器件的参数是可以任意设置的,非虚拟元器件的参数是固定的,但是可以选择的。

因此非常适合电子类课程的教学和实验。这次课程设计,我们将了解EWB软件的初步知识和基本操作方法。

3.2Multisim界面介绍

主要有菜单栏,工具栏,缩放栏,设计栏,仿真栏,工程栏,元件栏,仪器栏,电路图编辑窗口等部分组成。

图8Multisim界面

Multisim10常用元件库分类

图9 multisim10常用元件库分类

3.2.1电路仿真图

Multisim软件对电路的仿真图如图10所示:

图10 电路仿真图

3.2.2压控振荡器的主要技术指标

压控振荡器的主要技术指标是其振荡频率,在本次的设计中其振荡频率的范围是

2.2GHZ-2.5GHZ。

3.3典型点的频谱图

1函数发生器的参数及频谱图

图11 函数发生器的参数

图12函数发生器输出图形2压控振荡器波形

图13 压控振荡器的输出波形3最终输出波形

图14 输出波形

实验仿真图

图15压控电压为10V时的输出波形

图16压控电压为8V时的输出波形

图17压控电压为6V时的输出波形

图18压控电压为4V时的输出波形

图19 压控电压为2V时的输出波形

分析:通过以上各图可以看出输出波形幅度随着输入幅度的减小而减小,但周期没

有太大的变化。输入的电压经过压控振荡器以后自激震荡产生正弦波图形然后经过放大

器放大输出波形。以上各图反应出电压变化时输出的波形也有变化符合压控振荡器的设计要求,并且通过读输出波形的周期可以算出输出频率。

4高频压控振荡器电路实现与分析

4.1实验电路连接

频 谱 仪

压控电压

测 量

输出

压控振荡器输出测量V 压 控振 荡 器压控频率调节

图20 压控振荡器实验连接框图

4.2实验步骤

1.压控振荡器实验时,首先要将面板“压控/扫频”开关置于“压控”位,使压控振荡器工作于压控状态。

2.接通压控振荡器12V 电源开关,相应模块内黄色电源指示灯亮。

3.将AT5000F2频率扩展器输出用专用电缆与AT5011频谱仪输入(INPUT 50 )连接,并将AT5000F2频率扩展器输入用测试电缆连接在“压控振荡器输出测量”的50Ω同轴接头上。并将电压表红表笔接“扫描/压控电压测量”孔,黑表笔接“GND ”或接组件外壳(如任一镀金的连接接头等)。

4.AT5011频谱仪扫频宽度(SCANWIDTH )置于100MHz/格,视频滤波(VIDEO FILTER )置于ON ,中频带宽(BAND WIDTH )置于20KHz 。

5.中心频率/标记(CF/MK )置OFF ,此时中心频率(CF)指示灯亮,数字显示窗将显示中心频率。旋转中心频率粗/细调(CENTERFREQ FINE ),使数字显示窗的频率读数为400.0MHz

6.压控振荡器输出信号频谱观察。从AT5011频谱仪显示屏上可以看到压控振荡器输出信号频谱为线谱,如图15所示,并且调节“压控频率调节”电位器,电压表指示的电压随之攺变,同时频谱仪显示屏上线谱竖线的位置会左右移动。由此可见,压控振荡器的频率随压控电压攺变而变化。

7.测量压控振荡器输出频率可调范围。将频谱仪中心频率/标记(CF/MK )置ON ,此时频标(MIK)指示灯亮,数字显示窗将显示频标所指示的频率。调节“压控频率调节”电位器,顺时针旋转到头,此时控制电压最大,约为12V ,对应的压控振荡器频率为最高。旋转频标位置旋纽(MARKER )使频标竖线与压控振荡器信号谱线重叠,则数字显示窗将显示压控振荡器最高信号的频率。反之,调节“压控频率调节”电位器,逆时针

旋转到头,此时控制电压最小,约为0V,对应的压控振荡器频率为最低。旋转频标位置旋纽(MARKER)使频标竖线与压控振荡器信号谱线重叠,则数字显示窗将显示压控振荡器最低信号的频率。记录压控振荡器最高和最低信号的频率即为压控振荡器输出频率可调范围。

图21压控振荡器输出信号频谱

由于压控振荡器频率大于2GHZ,因此使用安泰信AT5011频谱仪测量时需加扩展器AT5000F。此时,被测频率应为AT5011频谱仪数字显示窗所示频率与2GHZ之和。

8.测量压控振荡器的输出频率为2.395GHz时信号功率和对应的压控电压。

先测量压控电压。将频谱仪中心频率/标记(CF/MK)置ON,此时频标(MIK)指示灯亮,数字显示窗将显示频标所指示的频率。旋转频标位置旋纽(MARKER)使数字显示窗显示400MHz,仔细调节“压控频率调节”电位器,使频标竖线与压控振荡器信号谱线重叠,则压控振荡器输出信号频率为2.4GHz,记录此时电压表的电压读数,它就是2.395GHz对应的压控电压。

测量压控振荡器的输出频率为2.395GHz时信号功率。测量信号功率时,中频带宽应选择400KHz档,视频滤波应放在OFF。为防止频谱仪过载(即输入信号功率过大),开始输入四个衰减器(ATTN)全部接通衰减为40dbm,此时频谱仪显示器顶格功率为+13dbm,纵轴功率刻度10dbm/格。根据谱线的高度可估算该信号的功率。若谱线太低,可减小输入衰减器的衰减,四个衰减器按键每弹起一个,衰减减小10dbm,谱线相应提高一格,相应功率读数减小10dbm,四个按键都弹起最多能提高四格,相应功率读数减小40dbm。根据频线的高度和衰减器状态便能确定信号功率。实测2.395GHz时压控振荡器输出端信号功率约为0dbm,而“压控振荡器输出测量”接头上信号功率约为-10dbm。

9.测量压控振荡器输出频率/电压、功率/电压控制特性。测量压控振荡器压控电压、频率和功率,并将结果填入下述的表格中。

依据上述表中数据可画出如下曲线,如图22所示:

根据曲线可求得频率/电压斜率。

频率/电压斜率是与电压大小有关的变量,如V1-V2之间的频率/电压斜率为1

212v -v f -f 所以压控振荡器的输出频率可调范围是:2.227GHZ-2.437GHZ

压控振荡器的输出频率为2.4GHz 时信号功率和对应的压控电压:P=-6dbm ,V=

9.31v

4.3实验注意事项

1.压控振荡器实验时,“扫描/压控”开关必需置于压控位。

2.由于压控振荡器中心频率为2.206 GHZ-2.503GHZ ,因此,使用安泰信AT5011频谱仪测量时,需加扩展器AT5000F2。

3.加扩展器AT5000F2后其频率读数应为A T5011频谱仪数字显示窗所示频率与2GHZ 之和。

4.采用扩展器AT5000F2测试时通常无法测量压控振荡器的各次谐波(已超出仪表频率范围)。

5.测试时注意AT5011频谱仪各开关旋纽的位置设置。

6.微波测量时应特别注意防止干扰,暂时不用的微波部件,其电源应切断,实验压 控

电压(V )

0 1 2 3 4 5 6 7 8 9 10 11 12 9.31 频 率

(GHZ )

2.102 2.243 2.253 2.273 2.294 2.304 2.325 2.349 2.372 2.349 2.423 2.448 2.437 2.400 输出功率

dbm -5 -5 -5 0 -4 -5 -5 -5 -6 -5 -6 -3 -6 -6 V f 1f 2

v 1v 2

图22频率与电压关系曲线

频率

电路连接应牢固可靠,并尽可能地避免外界电磁干扰。

4.4硬件测试

图23频率在2.14GHZ下对应得频谱图

图24压控实验仪器

通过频谱仪上的数字显示在加上频谱拓展器上的2GHz可得输出频谱的频率。由上图可得输入电压为3V时的输出频率为2.129GHz。

高频压控振荡器设计

前言 (1) 1高频压控振荡器设计原理压控振荡器 (2) 1.1工作原理 (2) 1.2变容二极管压控振荡器的基本工作原理 (2) 2高频压控振荡器电路设计 (4) 2.1设计的资料及设备 (4) 2.2变容二极管压控振荡器电路的设计思路 (4) 2.3变容二极管压控振荡器的电路设计 (4) 2.4实验电路的基本参数 (5) 2.5实验电路原理图 (6) 3高频压控振荡器电路的仿真 (7) 3.1M ULTISIM软件简介 (7) 3.2M ULTISIM界面介绍 (8) 3.2.1电路仿真图 (9) 3.2.2压控振荡器的主要技术指标 (9) 3.3典型点的频谱图 (9) 4高频压控振荡器电路实现与分析 (16) 4.1实验电路连接 (16) 4.2实验步骤 (16) 4.3实验注意事项 (18) 4.4硬件测试 (19) 5心得体会 (21) 参考文献 (22)

压控振荡器广泛应用于通信系统和其他电子系统中,在LC振荡器决定振荡器的LC 回路中,使用电压控制电容器(变容管),可以在一定的频率范围内构成电调谐振荡器。这种包含有压控元件作为频率控制器件的振荡器就称为压控振荡器。它广泛应用与频率调制器、锁相环路以及无线电发射机和接收机中。 压控振荡器是锁相环频率合成器的重要组成单元,在很大程度上决定了锁相环的性能。在多种射频工艺中,COMS工艺以高集成度、低成本得到广泛的应用。 压控振荡器(VCO)在无线系统和其他必须在一个范围的频率内进行调谐的通信系统中是十分常见的组成部分。许多厂商都提供VCO产品,他们的封装形式和性能水平也是多种多样。现代表面的贴装的射频集成电路(RFIC)VCO继承了近百来工程研究成果。在这段历史当中。VCO技术一直在不断地改进中,产品外形越来越小而相位噪声和调谐线性度越来越好。 对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄;RC压控振荡器的频率稳定度低而调频范围宽,LC压控振荡器居二者之间。 压控振荡器可分为环路振荡器和LC振荡器。环路振荡器易于集成,但其相位噪声性能比LC振荡器差。为了使相位噪声满足通信标准的要求,这里对负阻RC压控振荡器进行了分析。

课程设计--四花样彩灯控制器

课程设计--四花样彩灯控制器

2012 ~ 2013 学年第二学期 《数字电子技术》 课程设计报告 题目:四花样彩灯控制器 专业:电子信息工程 班级: 11 电信一班 姓名:孙叶林陶轮汪宏俊汪义涛王安 亚 王劲松王亮亮王向阳魏伟指导教师:周旭胜 电气工程系 2013年5月30日

任务书 课题名称四花样彩灯控制器 指导教师(职称)周旭胜 执行时间2012~ 2013 学年第 2学期第 14 周学生姓名学号承担任务 王安亚1109121033 设计总电路图1 汪宏俊1109121031 设计总电路图2 陶轮1109121030 负责对比两个总电路图 汪义涛1109121032 设计555时钟脉冲产生电路 王向阳1109121036 设计四种码产生电路 王劲松1109121034 设计输出电路 魏伟1109121037 设计开关电路 王亮亮1109121035 查找参考资料 孙叶林1109121029 负责写课程设计报告 设计目的 通过设计方案的比较,对比电路的复杂与简单,器件的市场价格等方面因素,来选择一种比较好的可行性设计方案 设计要求(1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动; (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。

摘要 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。优易LED全彩灯光控制系统由Color Edit编辑软件、主控器、分控器和LED光源组成,广泛应用于城市景观、风景名胜、道路桥梁、建筑轮廓、娱乐场所、户外广告、室内装饰等美化、亮化工程。 四花样自动切换的彩灯控制器,其电路简单、取材容易,而且被广泛地应用与现实生活当中。例如用于店面装饰可以增加其美观,吸引更多顾客。 在经过了几天紧张的电路焊接和调试,期间还进行了部分方案的修改和改进,现已实现了课程设计的主要任务和具体要求。 关键字:LED彩灯硬件电路

晶体振荡器课程设计

1石英晶体及其特性 (1) 1.1 石英晶体简介............................................... . ... 1.2石英晶体的阻抗频率特性...................................... 1 ... 2晶体管的部工作原理 (3) 3.晶体振荡器电路的类型及其工作原理 (4) 3.1串联型谐振晶体振荡器........................................ 4…??… 3.2并联谐振型晶体振荡器........................................ 6…??… 3.3泛音晶体振荡器................................................ 8 .. 4 确定工作点和回路参数(以皮尔斯电路为例) (10) 4.1主要技术指标 (10) 4.2确定工作点 (10) 4.3交流参数的确定 (11) 5提高振荡器的频率稳定度........................................... 1 2 6.总结 (13) 参考文献:........................................................ 1.4

Word 文档

1石英晶体及其特性 1.1石英晶体简介 石英是矿物质硅石的一种,化学成分是Sio2,形状是呈角锥形的六棱结晶体,具有各向异性的物理特性。按其自然形状有三个对称轴,电轴X,机械轴丫光轴Z。石英谐振器中的各种晶片,就是按与各轴不同角度,切割成正方形、长方形、圆形、或棒型的薄片,如图1的AT、BT、CT、DT 等切型。不同切型的晶片振动型式不,性能不同 1.2石英晶体的阻抗频率特性 石英谐振器的电路符号和等效电路如图121。C0称为静态电容,即晶体不振动时两极板间的等效电容,与晶片尺寸有关,一般约为几到几十pF。晶体作机械振动时的惯性以Lq、弹性用Cq振动时因磨擦造成的损耗用Rq来等效,它们的数值与晶片切割方位、形状和大小有关, 一般Lq为10 3102H,Cq为10 410 1pF,Rq 在几一几百欧之间。它

555多谐震荡器-实验报告

实验题目:用555定时器设计一个时钟信号源,频率为f=1KHz,占空比为60%。 实验报告: 一、实验相关信息 1、实验日期: 2、实验地点: 二、实验内容 用555定时器设计一个时钟信号源,频率为f=1KHz,占空比为60%。 三、实验目的 1、了解555定时器的工作原理和电路结构; 2、掌握555定时器的典型应用。 三、实验设备、元器件 1、实验仪器:(写清型号) 2、实验元器件: 四、理论计算 (1)555多谐震荡器电路结构 图1 多谐振荡器 (2)工作波形

(3)工作过程简述 接通电源后,电容C 被充电,νc 上升,当νc 上升到 Vcc 32 时,触发器被复位,同时 放电T 导通,此时 νo 为低电平,电容C 通过R 2 和T 放电,使νc 下降,当νc 下降到Vcc 31 时,触发器又被复位,νo 为高电平。电容C 放电所需时间为 C R C R t PL 227.02ln ≈= (1) 当电容C 放电结束时,T 截止,Vcc 将通过R 1、R 2向电容C 充电,νc 由Vcc 31上升到Vcc 32所需时间为 C R R C R R t PH )(7.02ln )(2121+≈+= (2) 当νc 上升到Vcc 32 时,触发器由发生翻转,如此周而服始,在输出端就得到一个周期 性的方波,其频率为 C R R t t f PH PL )2(43.1121+≈+= (3) %100)2((%)212 1X R R R R t t t q PH PL PH ++=+= (4) (4)占空比可调电路结构 对于图1电路结构占空比固定不变,要得到占空比可调的周期方波,对其电路改进,如图2所示。 由(4)式可知,占空比始终大于50%,要得到占空比小于50%的方波,只要在输出端加一个反向器即可。

高频课设电容三端式振荡器

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 电容三端式振荡器 初始条件: 电容三端式振荡器原理,Multisim软件 要求完成的主要任务: (1)设计任务 根据电容三端式振荡器的原理,设计电路图,并在multisim软件仿真出波形结果。 (2)设计要求 ①正常工作状况时的波形图; ②起振条件的仿真,要求改变偏置电阻、相位电容和电源电压值,再观察起振波形和振荡电压的变化情况。 时间安排: 1、2014 年11月17 日集中,作课设具体实施计划与课程设计报告格式的要求说明。 2、2014 年11月17 日,查阅相关资料,学习基本原理。 3、2014 年11月18 日至2014 年11月20日,方案选择和电路设计。 4、2014 年11月20 日至2014 年11月21日,电路仿真和设计说明书撰写。 5、2014 年11月23 日上交课程设计报告,同时进行答辩。 课设答疑地点:鉴主13楼电子科学与技术实验室。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (1) Abstract (2) 1 克拉泼振荡器原理 (3) 1.1 克拉泼振荡器产生的原因 (3) 1.2 克拉泼振荡器电路分析 (3) 1.3 克拉泼振荡器起振条件 (4) 1.3.1 相位条件 (4) 1.3.2振幅条件 (4) 1.4 克拉泼振荡器的振荡频率 (5) 2 克拉泼振荡器仿真分析 (6) 2.1 正常起振的电路图 (6) 2.2改变偏置电阻的仿真 (7) 2.3改变相位电容的仿真 (8) 2.4改变电源大小的仿真 (8) 3 心得体会 (9) 参考文献 (10)

压控振荡器

压控振荡器 一.基本原理 信号的频率取决于输入信号电压的大小,因此称为“压控振荡器”。其它影响压控振荡器输出信号的参数还VCO(Voltage ControlledOscillator)(压控振荡器)是指输出信号的频率随着输入信号幅度的变化而发生相应变化的设备,它的工作原理可以通过公式(5-1)来描述。 (5-1) 其中,u(t)表示输入信号,y(t)表示输出信号。由于输入信号的频率取决与输入信号的电压的变化,因此称为“压控振荡器”。其他影响压控振荡器输出信号 的参数还有信号的幅度A c ,振荡频率f c ,输入信号灵敏度k c ,以及初始相位。 压控振荡器的特性用输出角频率ω0与输入控制电压uc之间的关系曲线(图1)来表示。图中,uc为零时的角频率ω0,0称为自由振荡角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄,RC压控振荡器的频率稳定度低而调频范围宽,LC 压控振荡器居二者之间。

在MATLAB中压控振荡器有两种:离散时间压控振荡器和连续时间压控振荡器,这两种压控振荡器的差别在于,前者对输入信号采用离散方式进行积分,而后者则采用连续积分。本书主要讨论连续时间压控振荡器。 为了理解压控振荡器输出信号的频率与输入信号幅度之间的关系,对公式(5-1)进行变换,取输出信号的相角Δ为 对输出信号的相角Δ求微分,得到输出信号的角频率ω和频率f分别为: ω=2πf c+2πk c u(t) (5-3) (5-4) 从式(5-4)中可以清楚地看到,压控振荡器输出信号的频率f与输入信号幅度u(t)成正比。当输入信号u(t)等于0时,输出信号的频率f等于f c;当输入信号u(t)大于0时,输出信号的频率f高于f c;当输入信号u(t)小于0时,输出信号的频率f低于f c。这样,通过改变输入信号的幅度大小就可以准确地控制输出信号的频率。 二.程序及结果分析 定义一个锯齿波信号,频率是20HZ,幅度范围在0V和1V之间。现在用此信号 =20HZ,输入信号作为压控振荡器的输入控制信号,该压控振荡器的振荡频率f c 灵敏度,初始相位。使用MATLAB求得输出的压控振荡信号。MATLAB 程序如下: %MATLAB实现压控振荡器 clear all; clc; t0=0.15;%定义压控信号持续时间 ts=0.0001;%定义信号采样率 fc=50;%定义振荡频率 t=[0:ts:t0];%时间矢量 u0=20*t(1:length(t)/3);%定义压控信号(单周期) u=[u0,u0,u0,0];%定义压控信号(3个周期) Ac=1;%定义振幅 kc=0.1;%定义输入信号灵敏度 fi=0;%定义初始相位 %对压控信号进行积分 u_int(1)=0;%定义压控信号积分初值 for i=1:length(u)-1%进行离散积分 u_int(i+1)=u(i)+u_int(i);

压控振荡器的设计与仿真.

目录 1 引言 (2) 2 振荡器的原理 (5) 2.1 振荡器的功能、分类与参数 (5) 2.2 起振条件 (9) 2.3 压控振荡器的数学模型 (10) 3 利用ADS仿真与分析 (11) 3.1 偏置电路的的设计 (12) 3.2 可变电容VC特性曲线测试 (13) 3.3 压控振荡器的设计 (15) 3.4 压控振荡器相位噪声分析 (18) 3.5 VCO振荡频率线性度分析 (23) 4 结论 (24) 致谢 (25) 参考文献 (25)

压控振荡器的设计与仿真 Advanced Design System客户端软件设计 电子信息工程(非师范类)专业 指导教师 摘要:ADS可以进行时域电路仿真,频域电路仿真以及数字信号处理仿真设计,并可对设计结果进行成品率分析与优化,大大提高了复杂电路的设计效率。本论文运用ADS仿真软件对压控振荡器进行仿真设计,设计出满足设计目标的系统,具有良好的输出功率,相位噪声性能及震荡频谱线性度。本论文从器件选型开始,通过ADS软件仿真完成了有源器件选型,带通滤波器选型,振荡器拓扑结构确定,可变电容VC特性曲线,瞬态仿真及谐波平衡仿真。实现了准确可行的射频压控振荡器的计算机辅助设计。关键字:压控振荡器,谐波平衡仿真,ADS 1 引言 振荡器自其诞生以来就一直在通信、电子、航海航空航天及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接收设备的基本部件。随着电子技术的迅速发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表中采用频率稳定度很高的振荡电路作为定时部件等。尤其在通信系统电路中,压控振荡器(VCO)是其关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要地位。 人们对振荡器的研究未曾停止过。从早期的真空管时代当后期的晶体管时代,无论是理论上还是电路结构和性能上,无论是体积上还是制作成本上无疑都取得了飞跃性的

路灯控制器课程设计

电子技术课程设计 课程设计任务书 20 16 - 20 17学年第一学期第18周—19周 题目《路灯控制器》 内容及要求 ①设计一个路灯控制自动照明的电路 ②当日照光亮到一定程度时使灯自动熄灭,而日照光暗到一定程度时又能自 动点亮。开启和关断的日照光照度根据用户进行调节。 ③设计计时电路,用数码管显示路灯当前一次的连续开启时间。 ④设计计数显示电路,统计路灯的开启次数。 进度安排 1、查资料,确定方案(三 天) 2、方案设计(天) 3、仿真调试 (二 天) 4、硬件实现与调试 (三 天) 5 、 撰写课程设计报告并答辩(天)学生姓名:

目录 前言 (3) 一选题背景 (4) 1.1 设计要求 (4) 1.2 指导思想 (4) 二方案论证 (5) 2.1 方案说明 (5) 2.2 方案原理 (5) 三电路的设计与分析 (6) 3 . 1 电路原理框图. (6) 3.2单元电路的设计与分析. (6) 四. 电路的调试与分析 (13) 4.1调试使用的仪器. (13) 4.2 电路的调试 (13) 五.总结 (15) 5.1 设计体会 (15) 5.2 改进提高 (15) 六. 附录及参考文献 (16) 6.1 附录1 元器件清单. (16) 6.2 附录2 电路的原理图. (16)

6.3 附录3 实物图 (17) 6.4 参考文献 (18) 、八、- 前言 在现代城市中,效率意识日益突出,人们希望不需要人力资源的浪费,希望使效率合理使用最大化。因此,自动路灯控制器是实现无人管理自动开关的重要设计。本课程设计的任务就是设计一个路灯控制器。鼓励学生在熟悉基本原理的前提下,与实际应用相联系,提出自己的方案,完善设计。

Proteus与cadence实训(高频正弦波振荡器)

课程设计任务书 学生姓名:专业班级:电子1001班指导教师:韩屏工作单位:信息工程学院题目: 高频晶体正弦波振荡器 初始条件: 计算机、Proteus软件、Cadence软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习Proteus软件和Cadence软件。 (2)设计一个高频晶体正弦波振荡器电路。 (3)利用Cadence软件对该电路设计原理图并进行PCB制版,用Proteus 软件对该电路进行仿真。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2013.11.11做课设具体实施安排和课设报告格式要求说明。 2013.11.11-11.16学习Proteus软件和Cadence软件,查阅相关资料,复习所设计内容的基本理论知识。 2013.11.17-11.21对高频晶体正弦波振荡器电路进行设计仿真工作,完成课设报告的撰写。 2013.11.22 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 目录 (1) 摘要 (2) 一、工作原理说明 (3) 1.1、振荡器概念 (3) 1.2、静态工作点的确定 (3) 1.3、振荡器的起振检查 (4) 二、电路设计 (5) 2.1、正弦波振荡器的设计 (5) 2.2、电路功能的仿真 (7) 2.3、Cadence部分原理图设计 (9) 三、PCB版图设计 (15) 四、心得体会 (18) 五、参考文献 (19)

压控振荡器原理和应用说明

压控振荡器(VCO 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj 随反向偏置电压VT 变化而变化的特点(VT=OV 时Cj 是最大值,一 般变容管VT 落在2V-8V 压间,Cj 呈线性变化,VT 在8-10V 则一般为非线性变化,如图1 所示,VT 在10-20V 时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当 改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO 。 压控振荡器的调谐电压 VT 要针对所要求的产品类别及典型应用环境(例如用户提供调谐要 求,在锁相环使用中泵源提供的输出控制电压范围等 )来选择或设计,不同的压控振荡器, 对调谐电压VT 有不同的要求,一般而言,对调谐线性有较高要求者, VT 选在1-10V ,对宽 频带调谐时,VT 则多选择1-20V 或1-24V 。图1为变容二极管的V — C 特性曲线。 图1变容二极管的V — C 特性曲线 三压控振荡器的基本参数 1工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“ MHZ 或 “GHz 。 2输出功率:在工作频段内输出功率标称值,用 Po 表示。通常单位为“ dBmW 。 3输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△ P 表示,通常 单位为“ dBmW 。 4调谐灵敏度:定义为调谐电压每变化1V 时,引起振荡频率的变化量,用 MHz/ △ VT 表示,在线性区,灵敏度最咼,在非线性区灵敏度降低。 5谐波抑制:定义在测试频点,二次谐波抑制 =10Log (P 基波/P 谐波)(dBmw )。 6推频系数:定义为供电电压每变化1V 时,引起的测试频点振荡频率的变化量,用 MHz/V 表 示。 7相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振 f0为fm 的带内,各杂散能量的总和按fin 平均值+15f0点频谱能量之比,单位为dBC/Hz 相位噪 声特点是频谱能量集中在f0附近,因此fm 越小,相噪测量值就越大,目前测量相噪选定 WV) 0 8 10

NE555多谐振荡电路课程设计要点

目录要....................................................................................................................................................... 2摘......................................................................................................................................... 41 设计任务和要求...................................................................................................................................... 4.1.1:设计任务.................................................................................................................................... 4:设计要求.1.2 ........................................................................................................................................ 4方案比较与论证.2 .......................................................................................................................... 4 .:稳压电源通常由 2.1.................................................................................................................................... 8 .2.2 :方案论证错误!未定义书签。硬件设计. (3) .................................................................................................. 错误!未定义书签。3.1 :设计思想............................................................................................... 错误!未定义书签。3.2 :称功能模块.系统仿真.. (84) .................................................................................................................... 8:仿真原理图如下:.4.1 错误!未定义书签。................................................................................................................ 5系统的组装............................................................................................... 错误!未定义书签。PCB版板图.:5.1 ......................................................................................................................................................... 96 结论:错误!未定义书签。参考文献:................................................................................................................... .................................................................................................. 错误!未定义书签。附录一:电路原理图.错误!未定义书签。:元件列表...................................................................................................................

三点式正弦波振荡器(高频电子线路实验报告)

三点式正弦波振荡器 一、实验目的 1、 掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计 算。 2、 通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影 响。 3、 研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1、 熟悉振荡器模块各元件及其作用。 2、 进行LC 振荡器波段工作研究。 3、 研究LC 振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4、 测试LC 振荡器的频率稳定度。 三、实验仪器 1、模块 3 1块 2、频率计模块 1块 3、双踪示波器 1台 4、万用表 1块 四、基本原理 实验原理图见下页图1。 将开关S 1的1拨下2拨上, S2全部断开,由晶体管N1和C 3、C 10、C 11、C4、CC1、L1构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI 可用来改变振荡频率。 ) 14(121 0CC C L f += π 振荡器的频率约为4.5MHz (计算振荡频率可调范围) 振荡电路反馈系数 F= 32.0470 220220 3311≈+=+C C C 振荡器输出通过耦合电容C 5(10P )加到由N2组成的射极跟随器的输入端,因C 5容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号经

N3调谐放大,再经变压器耦合从P1输出。 图1 正弦波振荡器(4.5MHz ) 五、实验步骤 1、根据图1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 2、研究振荡器静态工作点对振荡幅度的影响。 (1)将开关S1拨为“01”,S2拨为“00”,构成LC 振荡器。 (2)改变上偏置电位器W1,记下N1发射极电流I eo (=11 R V e ,R11=1K)(将万用表红 表笔接TP2,黑表笔接地测量V e ),并用示波测量对应点TP4的振荡幅度V P-P ,填于表1中,分析输出振荡电压和振荡管静态工作点的关系,测量值记于表2中。 3、测量振荡器输出频率范围 将频率计接于P1处,改变CC1,用示波器从TP8观察波形及输出频率的变化情况,记录最高频率和最低频率填于表3中。 六、实验结果 1、步骤2振荡幅度V P-P 见表1.

压控LC电容三点式振荡器设计及仿真

实验二压控LC 电容三点式振荡器设计及仿真 一、实验目的 1、了解和掌握LC 电容三点式振荡器电路组成和工作原理。 2、了解和掌握压控振荡器电路原理。 3、理解电路元件参数对性能指标的影响。 4、熟悉电路分析软件的使用。 二、实验准备 1、学习LC 电容三点式西勒振荡器电路组成和工作原理。 2、学习压控振荡器的工作原理。 3、认真学习附录相关内容,熟悉电路分析软件的基本使用方法。 三、设计要求及主要指标 1、采用电容三点式西勒振荡回路,实现振荡器正常起振,平稳振荡。 2、实现电压控制振荡器频率变化。 3、分析静态工作点,振荡回路各参数影响,变容二极管参数。 4、振荡频率范围:50MHz~70MHz,控制电压范围3~10V。 5、三极管选用MPSH10(特征频率最小为650MHz,最大IC 电流50mA,可 满足频率范围要求),直流电压源12V,变容二极管选用MV209。 四、设计步骤 1、整体电路的设计框图

整个设计分三个部分,主体为LC 振荡电路,在此电路基础上添加压控部分,设计中采用变容二极管MV209 来控制振荡器频率,由于负载会对振荡电路的 频 率产生影响,所以需要添加缓冲器隔离以使振荡电路不受负载影响。 2、LC 振荡器设计 首先应选取满足设计要求的放大管,本设计中采用MPSH10 三极管,其特征频率f T=1000MHz。LC 振荡器的连接方式有很多,但其原理基本一致,本实验中采用电容三点式西勒振荡电路的连接方式,该振荡电路在克拉泼振荡电路的基础上进行了细微的改良,增加了一个与电感L 并联的电容,主要利用其改变频率而不对振荡回路的分压比产生影响的特点。电路图如下所示:

实验三多谐振荡器

实验三多谐振荡器和计数器的设计 一、实验目的 1、学会用Multisim7 的总线功能设计电路; 2、学会Multisim7 虚拟仪器逻辑分析仪的使用; 3、掌握用555 电路设计振荡器的方法; 4、掌握集成同步十进制计数器74LS160 的逻辑功能,用置零法和置数法设计其它 进制计数器。 二、实验原理及参考图 1、555 定时器是一种多用途的数字—模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器,其管脚图如图4-3.1 所示。 2、集成同步十进制计数器74LS160 除了十进制加法功能之外,还有同步预置数、异步置零和保持功能,其管脚图如图4-3.2 所示,其功能表如表4-3.2 所示。74LS160通过置零法和置数法可以构成其它进制计数器。 置零法的原理:当计数器从零开始,计数到某个状态时,令它跳过后面的其它状态,直接置零,重新开始计数。 置数法的原理:通过给计数器重复置入某个数值,使计数器跳过若干个状态。 图 4-3.1 图4-3.2 三、实验内容与步骤 1、多谐振荡器的设计

(1)、用555 电路设计一个输出频率可调范围为100Hz~10KHz 的多谐振荡器;(2)、根据设计值,选择元件并设置好参数、连接好电路; ( 3)、用示波器观察输出波形,并测量输出信号的频率范围,与设计值进行比较,讨论产生误差的原因。 当输入电阻为R2=4997500Ω 时,获取100HZ的振荡器。 实际输出波形的周期为T=10.038ms; 其误差为(100-1/10.038*1000)/100*100%=0.38%;

当输入电阻为R2=47500Ω 时,获取10KHZ的振荡器; 实际输出波形的周期为T=117.424us; 其误差为(10000-1/117.424*1000000)/10000*100%=14.84%; 误差分析:当输入频率较小时,相对误差小;频率大,则具有较大的误差。如上原理图显示,电容C1的取值Q=1/(Ln3-Ln1.5),而实际取值为1.4427nF,无法消除所有的计算误差。所以,在获取较大频率值时,误差得到放大,使实际产生的数据不准确。这就是100HZ和10KHZ误差大小的原因之一。二来实现硬件电路的元器件本身数值不是准确的,存在相对误差,从而引起波形频率不准确。 2、计数器的设计 (1)、用置零法将74LS160 连接成七进制计数器,输出QD、QC、QB、QA 接数码管 及逻辑分析仪;

(完整)高频课程设计_LC振荡器_西勒

高频电子线路课程设计报告设计题目:LC正弦波振荡器的设计 2014年1月10日

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (1) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (3) 2.4西勒电路振荡器 (4) 三、设计内容 (5) 3.1LC振荡器的基本工作原理................................................ . (5) 3.2西勒电路原理图及分析 (6) 3.2.1振荡原理 (7) 3.2.2静态工作点的设置 (7) 3.3西勒振荡器原理图 (8) 3.4 仿真结果与分析 (8) 3.4.1软件简介 (8) 3.4.2进行仿真 (9) 3.4.3仿真结果分析 (11) 四、总结 (11) 五、主要参考文献 (13)

一、设计任务与要求 在本课程设计中,为了熟悉《高频电子线路》课程,着眼于LC正弦波振荡器的分析和研究。通过对电感反馈式三端振荡器(哈特莱振荡器)、电容反馈式三端振荡器(考毕兹振荡器)以及改进型电容反馈式振荡器(克拉波电路和西勒电路)的分析、对比和讨论,以达到课程设计的目的和要求。在课程设计中,为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。 本课程设计中要求设计的正弦波振荡器能够输出稳定正弦波信号,输出频率可调范围为10~20MHz。本设计中所涉及的仿真电路是比较简单的。但通过仿真得到的结论在实际的类似电路中有很普遍的意义。 二、设计方案 通过对高频电子线路相关知识的学习,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路和西勒电路)等。其中互感反馈易于起振,但稳定性差,适用于低频,而电容反馈三点式振荡器稳定性好,输出波形理想,振荡频率可以做得较高。由所学知识可知,西勒电路具有该电路频率稳定性非常高,振幅稳定,频率调节方便,适合做波段振荡器等优点。所以在本设计中拟采用并联改进型的西勒电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器 电感三点式振荡器又称哈特莱振荡器,其原理电路如图所示:

lc压控振荡器实验报告doc

lc压控振荡器实验报告 篇一:实验2 振荡器实验 实验二振荡器 (A)三点式正弦波振荡器 一、实验目的 1. 掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计算。 2. 通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影响。 3. 研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1. 熟悉振荡器模块各元件及其作用。 2. 进行LC振荡器波段工作研究。 3. 研究LC振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4. 测试LC振荡器的频率稳定度。 三、基本原理 图6-1 正弦波振荡器(4.5MHz) 【电路连接】将开关S2的1拨上2拨下, S1全部断开,由晶体管Q3和C13、C20、C10、CCI、L2构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI可用来改变振 荡频率。振荡频率可调范围为:

?3.9799?M??f0??? ? ?4.7079?M? CCI?25p CCI? 5p 调节电容CCI,使振荡器的频率约为4.5MHz 。振荡电路反馈系数: F= C1356 ??0.12 C20470 振荡器输出通过耦合电容C3(10P)加到由Q2组成的射极跟随器的输入端,因C3容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号Q1调谐放大,再经变压器耦合从J1输出。 四、实验步骤 根据图6-1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 1. 调整静态工作点,观察振荡情况。 1)将开关S2全拨下,S1全拨下,使振荡电路停振 调节上偏置电位器RA1,用数字万用表测量R10两端的静态直流电压UEQ(即测量振荡管的发射极对地电压UEQ),使其为5.0V(或稍小,以振荡信号不失真为准),这时表明振荡管的静态工作点电流IEQ=5.0mA(即调节W1使

多谐振荡器设计报告

多谐振荡器设计报告 一、实验要求 产生矩形波的频率可以通过电压控制,实现压控振荡。并且在电压调整的过程中波形不会出现振荡、过冲、毛刺等不稳定现象,能够稳定地产生方波。设计报告中应该包括电路截图、仿真截图、仿真分析等实验数据。 二、多谐振荡器相关简介 随着电子产业的发展以及要求,各种稳定的波形产生器成为不可缺少的一部分,而方波是其中比较有代表性的一个波形。方波在各个行业及日常生活中得到了广泛的应用,如电路中的定时器、分频器、脉冲信号发生器等都需要方波产生电路。而多谐振荡器则是一种在接通电源后,就能产生一定频率和一定幅值矩形波的自激振荡器,常作为脉冲信号源。由于多谐振荡器在工作过程中没有稳定状态,故又称为无稳态电路。尽管多谐振荡器有多种电路形式,但它们都具有以下结构特点:电路由开关器件和反馈延时环节组成。开关器件可以是逻辑门、电压比较器、定时器等,其作用是产生脉冲信号的高、低电平。反馈延时环节一般为RC电路,RC电路将输出电压延时后,恰当地反馈到开关器件输入端,以改变其输出状态。 三、实验方案确定 本次实验是通过施密特触发器与晶体管来构成多谐振荡器电路的开关器件,RC电路来构成反馈延时环节,再加入电压控制部分实现振荡频率的控制。

四、实验内容 1、施密特触发器的制作 a、原理图简要分析。电路主要部分为Q2管与Q3管两个导向器相连,再在输入与输出两个端口加上Q1管与Q4管构成的射极跟随器进行隔离,从而得到更好的频率特性,使输出的波形不会出现毛刺、过冲、振荡等不稳定现象,并且在压控电路中不会对其它部分有较大影响。其电路图如下: b、施密特电路调试。为了使电路能够很好地工作,分析原理图可知,电路的上下门限电压由电阻RC1、RC2、RE决定,而射极跟随器的射极电阻RE1与RE2主要影响电路的输入与输出阻抗,同时对电路的频率特性也有一定的影响。因此,在电路仿真调试的过程可以有目的性的进行元器件参数设置。电路调试的截图如下:

高频实验2:LC与晶体振荡器

实验二:LC与晶体振荡器 一.实验目的 1.熟悉电子元器件和高频电子线路实验系统。 2.掌握电容三点式LC振荡电路的基本原理,熟悉其各元件功能。 3.熟悉静态工作点IEQ对振荡器振荡幅度和频率的影响。 4.熟悉LC谐振回路的电容变化对振荡器振荡频率的影响。 二.实验预习要求 1.做本实验时应具备的知识点: * 三点式LC振荡器 * 克拉泼电路 * 静态工作点值对振荡器工作的影响 2.做本实验时所用到的仪器: * LC与晶体振荡模块实验板 * 双踪示波器 * 频率计 * 万用表 三.实验电路原理 1.概述 LC振荡器实质上是满足振荡条件的正反馈放大器。LC振荡器是指振荡回路是由LC元件组成的。从交流等效电路可知:由LC振荡回路引出三个端子,分别接振荡管的三个电极,而构成反馈式自激振荡器,因而又称为三点式振荡器。如果反馈电压取自分压电感,则称为电感反馈LC振荡器或电感三点式振荡器;如果反馈电压取自分压电容,则称为电容反馈LC振荡器或电容三点式振荡器。 在几种基本高频振荡回路中,电容反馈LC振荡器具有较好的振荡波形和稳定度,电路形式简单,适于在较高的频段工作,尤其是以晶体管极间分布电容构成反馈支路时其振荡频率可高达几百MHZ~GHZ。 2.LC振荡器的起振条件 一个振荡器能否起振,主要取决于振荡电路自激振荡的两个基本条件,即:振幅起振、平衡条件和相位平衡条件。 3.C振荡器的频率稳定度 频率稳定度表示:在一定时间、或一定温度、电压等变化范围内振荡频率的相对变化程度,常用表达式:Δf0/f0来表示(f0为所选择的测试频率;Δf0为振荡频率的频率误差,Δf0=f02-f01;f02和f01为不同时刻的f0),频率相对变化量越小,表明振荡频率的稳定度越高。由于振荡回路的元件是决定频率的主要因素,所以要提高频率稳定度,就要设法提高振荡回路的标准性,除了采用高稳定和高Q值的回路电容和电感外,其振荡管可以采用部分接入,以减小晶体管极间电容和分布电容对振荡回路的影响,还可采用负温度系数元件实现温度补偿。 4、LC振荡器的调整和参数选择 以实验采用的改进型电容三点振荡电路(西勃电路)为例 (1)静态工作点的调整 合理选择振荡管的静态工作点,对振荡器工作的稳定性及波形的好坏,有一定的影响,偏置电路一般采用分压式电路,如实验电路图12-1所示。

基于Multisim11的压控振荡电路仿真设计

分类号 密级 基于Multisim11的压控振荡电路仿真设计 所在学院机械与电气工程学院 专业电气工程及其自动化 班级 姓名 学号 指导老师 年月日 诚信承诺

我谨在此承诺:本人所写的毕业论文《基于Multisim11的压控振荡电路仿真设计》均系本人独立完成,没有抄袭行为,凡涉及其他作者的观点和材料,均作了注释,若有不实,后果由本人承担。 承诺人(签名): 年月日

摘要 Multisim是美国国家仪器有限公司推出的以Windows为基础的仿真工具,适用于初级的模拟及数字电路板的设计工作,Multisim不仅具有丰富的仿真分析能力,而且还包含了电路原理图的图形输入及电路硬件描述语言的输入方式。有了Multisim软件就相当于有了一个电子实验室,可以非常方便的从事各种电路设计及仿真分析工作。 随着无线通信技术的快速发展,使得市场对压控振荡电路产生了巨大的需求。压控振荡器是通过调节可变电阻或电容可以改变波形的振荡频率,一般是通过人工来调节的。而在自动控制场合往往要求能自动地调节振荡频率。常见的情况是给出一个控制电压,要求输出波形的振荡频率与控制电压成正比。这种电路称为压控振荡器。 本次设计的内容是基于Multisim11的压控振荡电路仿真设计,阐述了压控振荡器的电路原理以及组成结构。本次设计是采用集成运算放大器741芯片组成的滞回电压比较器和反向积分电路,利用二极管1N4148相当于电子开关的功能,控制电容的充放电时间,构成的压控振荡电路,从而实现输入电压对输出频率变化的控制。只要改变输入端的电压,就可以改变输出端的输出频率。并在电路设计与仿真平台Multisim11仿真环境中创建集成压控振荡器电路模块,进而使用Multisim仿真工具对其进行仿真从而达到设计的目的和要求。 关键词:Multisim,压控振荡器,1N4148

相关文档
最新文档