集成电路设计答案 王志功版

集成电路设计答案 王志功版
集成电路设计答案 王志功版

第一章

1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律?

晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律

2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。

拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。

环境:IC产业生产能力剩余,人们需要更多的功能芯片设计

3.多项目晶圆(MPW)技术的特点是什么?对发展集成电路设计有什么意义?

MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。

4.集成电路设计需要哪四个方面的知识?

系统,电路,工具,工艺方面的知识

第二章

1.为什么硅材料在集成电路技术中起着举足轻重的作用?

原材料来源丰富,技术成熟,硅基产品价格低廉

2.GaAs和InP材料各有哪些特点? P10,11

3.怎样的条件下金属与半导体形成欧姆接触?怎样的条件下金属与半导体形成肖特基接触?

接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触

4.说出多晶硅在CMOS工艺中的作用。P13

5.列出你知道的异质半导体材料系统。

GaAs/AlGaAs, InP/ InGaAs, Si/SiGe,

6.SOI材料是怎样形成的,有什么特点?

SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低

7. 肖特基接触和欧姆型接触各有什么特点?

肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。

8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21

第三章

1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。

意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长

2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29

3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。

4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点?

X 射线(X-ray)具有比可见光短得多的波长,可用来制作更高分辨率的掩膜版。电子

束扫描法,,由于高速电子的波长很短,分辨率很高

5. 说出半导体工艺中掺杂的作用,举出两种掺杂方法,并比较其优缺点。

热扩散掺杂和离子注入法。与热扩散相比,离子注入法的优点如下:1.掺杂的过程可通过调整杂质剂量与能量来精确控制杂质分布。2.可进行小剂量的掺杂。3.可进行极小深度的掺杂。4.较低的工业温度,故光刻胶可用作掩膜。5.可供掺杂的离子种类较多,离子注入法也可用于制作隔离岛。缺点:价格昂贵,大剂量注入时,半导体晶格会遭到严重破坏且难以恢复

6.列出干法和湿法氧化法形成SiO 2的化学反应式。

干氧湿氧

第四章

1.Si 工艺和GaAs 工艺都有哪些晶体管结构和电路形式? 见表4.1

2.比较CMOS 工艺和GaAs 工艺的特点。

CMOS 工艺技术成熟,功耗低。GaAs 工艺技术不成熟,工作频率高。

3. 什么是MOS 工艺的特征尺寸?

工艺可以实现的平面结构的最小宽度,通常指最小栅长。

4. 为什么硅栅工艺取代铝栅工艺成为CMOS 工艺的主流技术?

铝栅工艺缺点是,制造源漏极与制造栅极需要两次掩膜步骤(MASK STEP ),不容易对齐。硅栅工艺的优点是:自对准的,它无需重叠设计,减小了电容,提高了速度,增加了电路的稳定性。

5. 为什么在栅长相同的情况下NMOS 管速度要高于PMOS 管?

因为电子的迁移率大于空穴的迁移率

6.简述CMOS 工艺的基本工艺流程。P .52

7.常规N-Well CMOS 工艺需要哪几层掩膜?每层掩膜分别有什么作用? P50表4.3

第五章

1. 说出MOSFET 的基本结构。

MOSFET 由两个PN 结和一个MOS 电容组成。

2. 写出MOSFET 的基本电流方程。

])[(2

1DS DS T GS w V V V V ox OX --?μ

ξ 3. MOSFET 的饱和电流取决于哪些参数?

饱和电流取决于栅极宽度W ,栅极长度L ,栅-源之间压降GS V ,阈值电压T V ,氧化层厚度OX t ,氧化层介电常数OX ξ

4. 为什么说MOSFET 是平方率器件?

因为MOSFET 的饱和电流具有平方特性

5. 什么是MOSFET 的阈值电压?它受哪些因素影响?

阈值电压就是将栅极下面的Si 表面从P 型Si 变成N 型Si 所必要的电压。影响它的因素有4个:材料的功函数之差,SiO2层中可以移动的正离子的影响,氧化层中固定电荷的22SiO O Si →+22222H SiO O H Si +→+

影响,界面势阱的影响

6. 什么是MOS 器件的体效应?

由于衬底与源端未连接在一起,而引起的阈值电压的变化叫做体效应。

7. 说明L 、W 对MOSFET 的速度、功耗、驱动能力的影响。

P70,71

8. MOSFET 按比例收缩后对器件特性有什么影响?

DS I 不变,器件占用面积减少,提高电路集成度,减少功耗

9. MOSFET 存在哪些二阶效应?分别是由什么原因引起的?

P .70-73 沟道长度调制效应,体效应,亚阈值效应

10.说明MOSFET 噪声的来源、成因及减小的方法。

噪声来源:热噪声和闪烁噪声。热噪声是由沟道内载流子的无规则热运动造成的,可通过增加MOS 管的栅宽和偏置电流减少热噪声。闪烁噪声是由沟道处二氧化硅与硅界面上电子的充放电引起的,增加栅长栅宽可降低闪烁噪声。

第六章

1.芯片电容有几种实现结构?

①利用二极管和三极管的结电容;

②叉指金属结构;

③金属-绝缘体-金属(MIM )结构;

④多晶硅/金属-绝缘体-多晶硅结构。

2.采用半导体材料实现电阻要注意哪些问题?

精度、温度系数、寄生参数、尺寸、承受功耗以及匹配等方面问题

3.画出电阻的高频等效电路。

4.芯片电感有几种实现结构?

(1)集总电感

集总电感可以有下列两种形式:

① 匝线圈;

② 圆形、方形或其他螺旋形多匝线圈;

(2)传输线电感

5.微波集成电路设计中,场效应晶体管的栅极常常通过一段传输线接偏置电压。试解释其作用。

阻抗匹配

6.微带线传播TEM波的条件是什么?

7.在芯片上设计微带线时,如何考虑信号完整性问题?

为了保证模型的精确度和信号的完整性,需要对互连线的版图结构加以约束和进行规整。为了减少信号或电源引起的损耗以及为了减少芯片面积,大多数连线应该尽量短。应注意微带线的趋肤效应和寄生参数。在长信号线上,分布电阻电容带来延迟;而在微带线长距离并行或不同层导线交叉时,要考虑相互串扰问题。

8.列出共面波导的特点。

CPW 的优点是:

①工艺简单,费用低,因为所有接地线均在上表面而不需接触孔。

②在相邻的CPW 之间有更好的屏蔽,因此有更高的集成度和更小的芯片尺

寸。

③比金属孔有更低的接地电感。

④低的阻抗和速度色散。

CPW 的缺点是:

①衰减相对高一些,在50 GHz 时,CPW 的衰减是0.5 dB/mm;

③由于厚的介质层,导热能力差,不利于大功率放大器的实现。

第七章

1. 集成电路电路级模拟的标准工具是什么软件, 能进行何种性能分析?

集成电路电路级模拟的标准工具是SPICE

可以进行:

(1)直流工作点分析

(2)直流扫描分析

(3)小信号传输函数

(4)交流特性分析

(5)直流或小信号交流灵敏度分析

(6)噪声分析

(7)瞬态特性分析

(8)傅里叶分析

(9)失真分析

(10)零极点分析

2. 写出MOS的SPICE元件输入格式与模型输入格式。

元件输入格式:

M<编号><漏极结点><栅极结点><源极结点><衬底结点><模型名称><宽W><长L>(<插指数M>)例如:M1 out in 0 0 nmos W=1.2u L=1.2u M=2

模型输入格式:

.Model <模型名称><模型类型><模型参数>……

例如:

.MODEL NMOS NMOS LEVEL=2 LD=0.15U TOX=200.0E-10 VTO=0.74 KP=8.0E-05

+NSUB=5.37E+15 GAMMA=0.54 PHI=0.6 U0=656 UEXP=0.157 UCRIT=31444

+DELTA=2.34 VMAX=55261 XJ=0.25U LAMBDA=0.037 NFS=1E+12 NEFF=1.001

+NSS=1E+11 TPG=1.0 RSH=70.00 PB=0.58

+CGDO=4.3E-10 CGSO=4.3E-10 CJ=0.0003 MJ=0.66 CJSW=8.0E-10 MJSW=0.24

其中,+为SPICE语法,表示续行。

3. 用SPICE程序仿真出MOS管的输出特性曲线。

.title CH6-3

.include “models.sp”

M1 2 1 0 0 nmos w=5u l=1.0u

Vds 2 0 5

Vgs 1 0 1

.dc vds 0 5 0.2 vgs 1 5 1

.print dc v(2) i(vds)

.end

4. 构思一个基本电路如一个放大器,画出电路图,编写SPICE输入文件,执行分析,观察结果。

.title CH6-4

.include “models.sp”

.global vdd

M1 out in 0 0 nmos w=5u l=1.0u

M2 out in vdd vdd pmos w=5u l=1.0u

Vcc vdd 0 5

Vin in 0 sin(0 1 10G 1ps 0)

.trans 0.01u 4u

.print trans v(out)

.end

第八章

1.说明版图与电路图的关系。

版图(Layout)是集成电路设计者将设计、模拟和优化后的电路转化成为一系列的几何图形,它包含了集成电路尺寸、各层拓扑定义等器件相关的物理信息数据。版图与电路图是一一对应的,包括元件对应以及结点连线对应。

2.说明版图层、掩膜层与工序的关系。

集成电路制造厂家根据版图中集成电路尺寸、各层拓扑定义等器件相关的物理信息数据来制造掩膜。根据复杂程度,不同工艺需要的一套掩膜可能有几层到十几层。一层掩膜对应于一种工艺制造中的一道或数道工序。掩膜上的图形决定着芯片上器件或连接物理层的尺寸。因此版图上的几何图形尺寸与芯片上物理层尺寸直接相关。

3.说明设计规则与工艺制造的关系。

由于器件的物理特性和工艺限制,芯片上物理层的尺寸对版图的设计有着特定的规则,这些规则是各集成电路制造厂家根据本身的工艺特点和技术水平而制定的。因此不同的工艺,就有不同的设计规则。

4.设计规则主要包括哪几种几何关系?

设计规则主要包括各层的最小宽度、层与层之间的最小间距以及最小交叠等。

5.给出版图设计中的图元(Instance)与电路中的元件(Element)概念的区别。

图元可以是一些不具有电路功能的图形组合,譬如以图形组成的字母、图标(Logo)等。

6. 为提高电路性能在版图设计中要注意哪些准则?

(1)匹配设计

(2)抗干扰设计

(3)寄生优化设计

(4)可靠性设计

7.版图设计中整体布局有哪些注意事项?

(1)布局图应尽可能与功能框图或电路图一致,然后根据模块的面积大小进行调整。

(2)设计布局图的一个重要的任务是安排焊盘。一个设计好的集成电路应该有足够的焊盘来进行信号的输入/输出和连接电源电压及地线。

(3)集成电路必须是可测的。最后的测试都是将芯片上的输入/输出焊盘和测试探针或封装线连接起来。

8.版图设计中元件布局布线方面有哪些注意事项?

(1)金属连线的宽度是版图设计必须考虑的问题。

(2)应确保电路中各处电位相同。芯片内部的电源线和地线应全部连通,对于衬底应该保证良好的接地。

(3)对高频信号,尽量减少寄生电容的干扰,对直流信号,尽量利用寄生电容来旁路掉直流信号中的交流成分从而稳定直流。

(4)对于电路中较长的走线,要考虑到电阻效应。为防止寄生大电阻对电路性能的影响,电路中尽量不走长线。

9. 简述用cadence软件进行全定制IC设计的流程。

Ⅰ原理图

(1)建库;

(2)建底层单元;

(3)电路图输入;

(4)设置电路元件属性;

(5)Check & Save;

(6)生成symbol;

(7)原理图仿真。

Ⅱ版图

(1)新建一个library/cell/view;

(2)进行cell 的版图编辑;

(3)版图验证;

(4)寄生提取与后仿真;

(5)导出GDSII 文件。

第九章

1.小信号放大器有哪些特点?

小信号放大器工作在小信号状态,提供放大的信号电流和电压,需要考虑电路的增益和带宽等指标。

2.限幅放大器属于小信号放大器还是大信号放大器?

大信号放大器

3.运算放大器有哪些特点和性能指标?

运算放大器是高增益的差动放大器,通常工作在闭环状态。

其性能指标有:

(1)增益

(2)小信号带宽

(3)大信号带宽

(4)输出摆幅

(5)线形度

(6)噪声与失调

(7)电源抑制

4.说明环形振荡器的工作原理,比较环形RC振荡器和LC振荡器的优缺点。

环形振荡器是由若干增益级首尾相连组成的,是一个总直流相位偏移180。的N个增益级

级联于反馈电路的环形振荡器。

环形振荡器不需要电感元件,可以节省大量的芯片面积,从而实现低代价的振荡器,而且这种振荡器可以实现很宽的调谐范围。但环形振荡器的噪声性能差,功耗高。

LC 振荡器的可以有效改善噪声性能,降低功耗;但由于使用电感元件,这使得芯片面积大大增加,芯片成本随之增加。

5.在图9.57所示的负跨导振荡器中,假设C P =0,只考虑M1和M2漏极结电容C DB ,请解释为什么VDD 可被视为控制电压,计算VCO 的压控增益。

解:因为C DB 随漏-衬底电压变化而变化,若VDD 变化,振荡回路的谐振频率也随之变化。由于C DB 两端的平均电压近似等于VDD ,可以得到:

由,得

6. 某环形VCO 为6级结构,每级单元电路为图9.58所示的MOS 差分放大器,其中每只

NMOS 管的V TH =0.5V ,k =0.1mA/V 2,C DS =7pF ,VDD=5V 。若控制电压Vcon=3~4V ,求输出频率

范围和压控灵敏度K 。

图9.58 NMOS 差分单元 解:,将V TH =0.5V ,k =0.1mA/V 2

,C DS =7pF ,VDD=5V 带入公式, Vcon=3V 时,f =2.14GHz ;Vcon=4V 时,f =0.714GHz 。

K=(2.14-0.714)/(4-3)=1.42GHz/V 。

out

集成电路设计产业平台项目简介(完整版)

集成电路设计产业平台项目简介 集成电路设计产业平台项目简介 一、项目申报单位基本情况 **海恒投资控股集团公司作为国家级**经济技术开发区国有资产授权运营管理机构,截至目前总资产达130亿元。旗下拥有海恒股份、公用事业公司、丹霞地产、项目管理公司、明珠物业、香怡物业、索菲特明珠国际大酒店、迎宾馆、国际会展中心、康拜、西伟德、徽园、金源热电、金晶水务等近三十家全资、控股及参股公司,主要经营业务涉及房地产开发、基础设施建设、社区建设、酒店业、会展服务、物业管理、金融产业、旅游产业、环保产业、能源供应等多个领域。海恒集团立足开发区、服务开发区,发展开发区,现已成为开发区企业管理的平台、资本运作的平台、资金融通的平台和入区项目服务平台。 二、项目建设必要性和意义 在集成电路(IC)产业链中,集成电路制造是基础,而集成电路设计是龙头。IC设计是将系统、逻辑与性能的设计要求转化为具体的物理版图的过程,也是一个把产品从抽象的过程一步步具体化、直至最终物理实现的过程。 **是电子信息产业大省,但设计研发力量薄弱。设计研发是集成电路整体产业链条中的关键环节,高风险、高投入、高技术、高产值。其平台建设耗资巨大,一般企业无法自己承担,又缺乏高水平的公共研发平台,很多企业只好跑到**、**等地具备条件的软件园去搞

研发,或是干脆将这一核心业务外包给别的企业。这使得我省集成电路产业大而不强,进一步发展受到局限。 作为全国第三大家电制造基地,目前**市电冰箱、洗衣机占全国产量的20%以上,是全国家电产品种类、品牌集中度最高的地区之一。拥有自主知识产权的集成电路产品是提升整机企业核心竞争力的关键,随着系统级芯片的发展,IC设计研发生产将成为整机企业生存的一个最重要的支点。同时,**省汽车工业规模强劲增长,在全国汽车产业格局中占据着重要的位置。在产业集群化发展趋势的带动下,未来汽车电子产业基地即将形成,从而将有力带动对上游集成电路产品的需求增长。日益旺盛的市场需求将促使我省集成电路设计产业迅速发展。 平台遵循“政府主导、高端引领、公共服务、开放共享”的原则,面向全省转方式调结构、推进集成电路产业发展以及高端设计团队的需求,着眼集成电路领域前沿技术,高起点、高标准规划建设。在软件方面,配备当今最先进EDA设计软件,可以完成数字电路、模拟电路、数模混合等多个设计流程,既满足千万门级的设计需求,同时也可以完成十万门级以下的设计。在硬件方面,配备也非常先进。同时,还将配备业界主流产品的大学计划软件,帮助IC设计人员和在校学生快速提高设计能力和技术水平。 平台的建成,将有效降低IC设计企业的初创成本和经营风险,为集成电路创新团队提供公共设计平台、设计咨询、流程方法学、版图设计、MPW等专业化服务,同时在风险投资、市场开发、项目管理和人才培训等方面提供支持。今后,**IC平台将在技术支撑、人才培训、企业孵化、招商引资、产业聚集等方面开始发挥越来越重要的作用,

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

硬件电路设计具体详解

2系统方案设计 2.1 数字示波器的工作原理 图2.1 数字示波器显示原理 数字示波器的工作原理可以用图2.1 来描述,当输入被测信号从无源探头进入到数字示波器,首先通过的是示波器的信号调理模块,由于后续的A/D模数转换器对其测量电压有一个规定的量程范围,所以,示波器的信号调理模块就是负责对输入信号的预先处理,通过放大器放大或者通过衰减网络衰减到一定合适的幅度,然后才进入A/D转换器。在这一阶段,微控制器可设置放大和衰减的倍数来让用户选择调整信号的幅度和位置范围。 在A/D采样模块阶段,信号实时在离散点采样,采样位置的信号电压转换为数字值,而这些数字值成为采样点。该处理过程称为信号数字化。A/D采样的采样时钟决定了ADC采样的频度。该速率被称为采样速率,表示为样值每秒(S/s)。A/D模数转换器最终将输入信号转换为二进制数据,传送给捕获存储区。 因为处理器的速度跟不上高速A/D模数转换器的转换速度,所以在两者之间需要添加一个高速缓存,明显,这里捕获存储区就是充当高速缓存的角色。来自ADC的采样点存储在捕获存储区,叫做波形点。几个采样点可以组成一个波形点,波形点共同组成一条波形记录,创建一条波形记录的波形点的数量称为记录长度。捕获存储区内部还应包括一个触发系统,触发系统决定记录的起始和终止点。 被测的模拟信号在显示之前要通过微处理器的处理,微处理器处理信号,包括获取信号的电压峰峰值、有效值、周期、频率、上升时间、相位、延迟、占空比、均方值等信息,然后调整显示运行。最后,信号通过显示器的显存显示在屏幕上。 2.2 数字示波器的重要技术指标 (1)频带宽度 当示波器输入不同频率的等幅正弦信号时,屏幕上显示的信号幅度下降3dB 所对应的输入信号上、下限频率之差,称为示波器的频带宽度,单位为MHz或GHz。

家芯片设计最有潜力的公司

中国最具潜力的20家芯片设计企业 专题特写:《国际电子商情》创刊二十周年系列报道 春华秋实:中国IC设计业走向可持续发展之路 ? 《国际电子商情》伴随着中国电子产业飞速发展已经走过了整整二十个不平凡的春秋,我们热切的目光也一路见证了中国IC设计业从孕育到成长,从星星之火到阵容壮大。今天,我们聚集在创刊20周年庆的舞台上,与20家中国最具代表性的IC设计公司一道,细数回顾饱含酸甜苦辣的发展历程,展现他们创立以来的丰硕成果和未来发展规划,分享业界志士们对产业环境变化的衷心感言。 诚然,中国IC产业在过去十几年取得了巨大的成就,IC设计企业已接近500家,2004年销售收入过亿元人民币的企业达到了16家之多。但是IC企业仍然有很长的路要走,一方面产品市场范围过窄,主要集中于电源管理、信号处理、视频编解码、玩具控制等几个方面,在相当一段时间里仍将提供替代性产品为主;另一方面,企业知识产权的建立与保护机制有待健全和加强。所幸的是,本土IC设计企业已然清醒认识到这些问题,正在向具有自主知识产权、自我良性循环成长的可持续发展之路迈进。 安凯开曼公司 这是一家创办于硅谷、根植于中国的芯片设计公司。成立4年多来,员工总数与设计人员大幅增长,推出多媒体应用处理器(AK3210M、AK3220M)、多媒体协处理器(A2、A6)两条产品主线,并提供多媒体手机、个人媒体播放器、无线监控、车载电话等完整解决方案。目前,安凯公司正与重庆重邮信科股份有限公司紧密合作,联合开发具有中国自主知识产权的TD-SCDMA基带处理器芯片。 安凯认为,现在中国IC设计产业的竞争如火如荼,对于本土的IC设计公司而言,想要在这样的竞争中生存和壮大,必须要在国际强手留下的生存空间中拿出有知识产权的特色产品,即注重芯片差异化特征的修炼。安凯的目标是成为全球一流的移动手持设备多媒体应用处理器的主要提供商。

电路原理图详解

电子电路图原理分析 电器修理、电路设计都是要通过分析电路原理图, 了解电器的功能和工作原理,才能得心应手开展工作的。作为从事此项工作的同志,首先要有过硬的基本功,要能对有技术参数的电路原理图进行总体了解,能进行划分功能模块,找出信号流向,确定元件 作用。若不知电路的作用,可先分析电路的输入和输出信号之间的关系。如信号变化规律及它们之间的关系、相位问题是同相位,或反相位。电路和组成形式,是放大电路,振荡电路,脉冲电路,还是解调电路。 要学会维修电器设备和设计电路,就必须熟练掌握各单元电路的原理。会划分功能块, 能按照不同的功能把整机电路的元件进行分组,让每个功能块形成一个具体功能的元件组合,如基本放大电路,开关电路,波形变换电路等。要掌握分析常用电路的几种方法, 熟悉每种方法适合的电路类型和分析步骤。 1.交流等效电路分析法 首先画出交流等效电路, 再分析电路的交流状态,即:电路有信号输入时,电路中各环节的电压和电流是否按输入信号的规律变化、是放大、振荡, 还是限幅削波、整形、鉴相等。 2?直流等效电路分析法 画出直流等效电路图,分析电路的直流系统参数,搞清晶体管静态工作点和偏置性质,级间耦合方式等。分析有关元器件在电路中所处状态及起的作用。例如:三极管的工作状态,如饱和、放大、截止区,二极管处于导通或截止等。 3?频率特性分析法 主要看电路本身所具有的频率是否与它所处理信号的频谱相适应。粗略估算一下它的中心频率,上、下限频率和频带宽度等,例如:各种滤波、陷波、谐振、选频等电路。 4?时间常数分析法 主要分析由R、L、C及二极管组成的电路、性质。时间常数是反映储能元件上能量积累和消耗快慢的一个参数。若时间常数不同,尽管它的形式和接法相似,但所起的作用还是不同,常见的有耦合电路、微分电路、积分电路、退耦电路、峰值检波电路等。 最后,将实际电路与基本原理对照,根据元件在电路中的作用,按以上的方法一步步分析,就不难看懂。当然要真正融会贯通还需要坚持不懈地学习。 电子设备中有各种各样的图。能够说明它们工作原理的是电原理图,简称电路图。 电路图有两种 一种是说明模拟电子电路工作原理的。它用各种图形符号表示电阻器、电容器、开关、晶体管等实物,用线条把元器件和单元电路按工作原理的关系连接起来。这种图长期以来就一直被叫做电路图。 另一种是说明数字电子电路工作原理的。它用各种图形符号表示门、触发器和各种逻辑部件,用线条把它们按逻辑关系连接起来,它是用来说明各个逻辑单元之间的逻辑关系和整机的逻辑功能的。为了和模拟电路的电路图区别开来,就把这种图叫做逻辑电路图,简称逻辑图。 除了这两种图外,常用的还有方框图。它用一个框表示电路的一部分,它能简洁明了地说明电路各部分的关系和整机的工作原理。 一张电路图就好象是一篇文章,各种单元电路就好比是句子,而各种元器件就是组成句子的单词。所以要想看懂电路图,还得从认识单词——元器件开始。有关电阻器、电容器、电感线圈、晶体管等元器件的用途、类别、使用方法等内容可以点击本文相关文章下的各个链接,本文只把电路图中常出现的各种符号重述一遍,希望初学者熟悉它们,并记住不忘。 电阻器与电位器(什么是电位器) 符号详见图1 所示,其中(a )表示一般的阻值固定的电阻器,(b )表示半可调或微调电阻器;(c )表示电位器;(d )表示带开关的电位器。电阻器的文字符号是“ R ”,电位器是“ RP ”,即在R 的后面再加一个说明它有调节功能的字符“ P ”。

集成电路设计与集成系统

集成电路卓越计划实验班本科培养计划Undergraduate Experimental Program in IC Design and Integrated System 一、培养目标 Ⅰ.Program Objectives 培养具备坚实的集成电路与集成系统专业理论基础、工程实践能力和相关创业能力,创新意识、创业素质和综合能力强,具备多学科视野和国际竞争力的光电领域研究型高端工程技术人才。毕业生能在集成电路产业部门、研究院所、高等院校及其相关领域创造性地从事集成电路工程相关的研究、开发和管理等工作。 Aiming at preparing all-rounded, high-quality talents with international competence, this program will enable students to be solidly grounded in basic theory, wide-ranged in specialized knowledge, capable of practical work and particularly specialized in Integrated Circuit theories, methods and EDA tools, Integrated System and Information Processing. Our graduates will be capable of research, design and management in IC-related industrial sectors, research centers and colleges etc. 二、基本规格要求 Ⅱ.Learning Outcomes 毕业生应获得以下几个方面的知识和能力: 1.扎实的数理基础; 2.熟练掌握微电子学与固体电子学、半导体集成电路及嵌入式系统的基本理论和方法; 3.分析解决本学科领域内工程技术问题的能力; 4.了解本学科重大工程技术的发展动态和前沿; 5.外语应用能力强; 6.出色的文献检索、资料综述和撰写科技论文的能力; 7.较好的创业素质,较强的项目协调、组织能力; ·122·

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

用集成电路设计数字模拟电路芯片

设计目的: 1.掌握CMOS反相器和两级CMOS运算放大器的电路图基本原理。 2.熟练掌握并运用tanner作图软件。 3. 基本能根据仿真图对电路进行基本分析 一.CMOS反相器 1.工作原理 1).基本电路结构 2).开启电压|UTP|=UTN,且小于VDD。当uI= UIL=0V时,VTN截止,VTP导通, uO = UOH≈VDD当uI =UIH = VDD ,VTN导 通,VTP截止,uO =UOL≈0V 3.)工作特点 VTP和VTN总是一管导通而另一管截止,流过 VTP和VTN的静态电流极小(纳安数量级),因而 CMOS反相器的静态功耗极小。这是CMOS电路最 突出的优点之一。 2.用Tanner仿真 1)cmos反相器电路图

2)反相器 瞬时分析 生成t-spice文件如下: 进行仿真: 仿真状态窗口:

仿真结果报告文件:

3)反 相器 瞬时 分析 在 W-Ed it中 观看 仿真 结果 4)反相 器直流 分析在 W-Edit 中观看 仿真结 果 3.用Tanner画CMOS反相器版图

二.两级CMOS运算放大器设计 设计原理分析: 单级有源负载差动放大器的增益一般可达几十到几百倍左右。但作为运算放大器,这个增益是不够的,因此还需要多级级联。下面我们来分析两级CMOS运算放大器。 两级CMOS运算放大器的基本电路图如下:

V 9 V 3U r I D3 I D1 V 1 V 4U i I D4I D2V 2 V 5 U DD ?à??213¥C c A U o I SS V 8 V 7 V 6 U SS 图一 电路图 下面我们根据题设指标,tanner 下进行仿真,并进行分析: 已知: K N=μn C ox=25 μA /V2, K P=μpCox=12.5 μA /V2, Cc=5 pF ,功耗Pm ≤10 mW ,U DD=9 V , λN=0.01 V-1,λP=0.015 V-2,U TH=1V 。 要求:Aud>5000,单位增益带宽GB=3MHz ,压摆率SR=2V/us 。 1. 根据总功率Pm=10mW ,Udd=9V ,可求出允许总电流I=Pm/Udd=1100uA 2. 根据压摆率SR=2V/us,算出第一级偏置电流Iss. SR=Io1(max)/Cc ,Io1=Id4-Id2=Id1-Id2,Id1最大值为Iss,Id2最小值为0,故Io1(max)=Iss 。Iss=SR*Cc=10uA 。 区Iss=100uA,Id1q=Id2=50uA.

常用基本数字集成电路应用设计

课程设计题目:常用基本数字集成电路应用设计 学生姓名: 学号: 院系: 专业班级: 指导教师姓名及职称: 起止时间: 课程设计评分: 常用基本数字集成电路应用设计 1.多谐振荡器概述 多谐振荡器是一种自激振荡器,它不需要输入触发信号,接通电源后就可自动输出矩形脉冲。由于矩形脉冲含有丰富的谐波分量,因此,常将矩形脉冲产生电路称为多谐振荡器。 1.1非门电路构成的多谐振荡器设计

1.1.1基本原理 门电路构成多谐振荡器 非门作为一个开关倒相器件,可用以构成各种脉冲波形的产生电路。电路的基本工作 原理是利用电容器的充放电,当输入电压达到与非门的阈值电压VT 时,门的输出状态即发生变化。因此,电路输出的脉冲波形参数直接取决于电路中阻容元件的数值。 (1)不对称多谐振荡器 非对称型多谐振荡器的输出波形是不对称的,当用TTL与非门组成时,输出脉冲宽度 tw1=RC, tw2=1.2RC, T=2.2RC 调节 R和C值,可改变输出信号的振荡频率,通常用改变C实现输出频率的粗调,改 变电位器R实现输出频率的细调。 图1为不对称多谐振荡器,为了使电路产生振荡,要求U1A和U1B两个反向器都工作在电压传输特性的转折区,即工作在放大区。 (2)对称型多谐振荡器 电路完全对称,电容器的充放电时间常数相同, 故输出为对称的方波。改变R和C的值, 可以改变输出振荡频率。非门3用于输出波形整形。 一般取R≤1KΩ?,当R1=R2=1KΩ,C1=C2=100pf~100μf时,f可在几Hz~MHz 变化。

脉冲宽度tw1=tw2=0.7RC,T=1.4RC. 图2中,U1A和U1B两个反向器之间经电容C1和C2耦合形成正反馈回路。 (3) 石英晶体稳频的多谐振荡器 当要求多谐振荡器的工作频率稳定性很高时,上述几种多谐振荡器的精度已不能满足要 求。为此常用石英晶体作为信号频率的基准。用石英晶体与门电路构成的多谐振荡器常用来 为微型计算机等提供时钟信号。 图3所示为常用的晶体稳频多谐振荡器。(a)、 (b)为TTL器件组成的晶体振荡电路;(c)、 (d)为CMOS器件组成的晶体振荡电路,一般用于电子表中,其中晶体的f0=32768Hz。 图3(c)中,门1用于振荡,门2用于缓冲整形。Rf是反馈电阻,通常在几十兆欧之 间选取,一般选22MΩ。R起稳定振荡作用,通常取十至几百千欧。C1是频率微调电容器, C2用于温度特性校正。

集成电路设计基础

集成电路设计基础复习提纲 一EDA常用命令 ls 显示当前目录下的文件和路径。Pwd显示当前文件的绝对路径.。Cd进入指定目录。More显示文件内容。Cp拷贝。Mkdir创建目录。tar 打包。zip压缩。unzip解压。ftp传送文件。 二基本概念 1版图设计 CIW命令解释窗口, Library 库,Reference Library相关库, Library Path库路径,Cell单元,View视图,Techfiler.tf工艺文件, cds.lib库管理文件, techfile.cds ASCII 文件,LSW图层选择窗口,display.drf图层显示文件。LayerPurpose Pair层次用途配对,Cellview Attributes and Properties单元视图属性,Instance单元,Snap Mode 光标按钮画线条或图形的模型。Stream。数据流(一个标准数据格式用在cad系统间传递物理设计数据) parameterized cells,参数化单元。Flatten,打平 设计方法 1 CIC设计流程 ①设计规划。②建库。③原理图输入。④电路仿真。⑤单元模块版图。⑥TOP 版图。⑦验证。⑧输出GDSII。⑨制掩膜。⑩流片封装测试。 2CIC建库的步骤,工艺文件和显示文件的使用。 建库进入设计项目所在的文件夹,打开名利窗口输入icfb,在ciw菜单栏中选择file-creat-creat new library,选择要连接的Techfiler.tf或者选择相应库作为链接库,后根据指示完成余下的操作 工艺文件p1-40说明图层连接,等效连接,不可被重叠,自动布线,设计规则等情况 ciw-technology-file-dump ,design,layout definations,ascll 命名.Tf,ok;/techpurposes /techlayers;/techdisplays;/techlayerpurposepriorities(图层目的优先);:q!(保存退出):wq!(写后保存退出);/ptap File-load 显示文件的使用:在显示资源编辑窗口里编辑并保存(display。drf)长期有效 添加新包,先编辑显示文件再在显示资源编辑窗口里编辑其填充等;file—save;tools-display resources-mergefile;分配图层目的配对。 3单元版图绘图方法及编辑基本方法, 新建,根据设计要求选择图层用不同的绘图命令绘制和按参数编辑、连接,测试4绘图及编辑常用命令的使用: Create— Rectangle 。create-rectangle left点拉升点 Instance、create-instance(名字不可改)填写库cell view 坐标等 Path、create-path 1点2点+回车/双击 Pcell、edit-hierarchy(分层)-make cell 填写,画长方形区域,ok Polygon、create- Polygon(F3),选择图层,点,点等,回车 Conics create-arc,点,点,点回车

集成电路设计公司管理系统

在集成电路设计行业,常用的管理系统是ERP系统,它主要是MRP(物料需求计划)延伸出来的新一代集成化管理信息系统,主要扩展了MRP的功能,它的核心思想就是供应链管理。 随着现代越来越商务智能化办公形式的发展,ERP系统已经脱离了传统企业的舒适圈,从供应链角度优化企业资源,同时优化了现代企业的运行模式,反映了市场对企业合理调配资源的要求。它对于改善企业业务流程、提高企业核心竞争力具有显着作用,同样也体现在SAP Business One软件中,它可以提供包括SAP Crystal解决方案在内的一体化业务智能功能,为满足中小企业的业务智能要求提供了选择与灵活性。在执行预定义报表或者生成专为业务量身定制的报表时,会派生相关信息。这些信息有助于用户管理业务关键信息并及时采取更正措施。 SAP Business One提供了一系列用于支持分析和决策制定的报

表。其中包括会计核算报表、企业报表、库存报表、财务报表以及交互式仪表盘。用户可以单击鼠标将任何报报表导出为Microsoft Excel格式的文件。借助于操作简单的数据导航技术,用户可以轻松检索到所需信息,既节省了宝贵的时间,又增强了决策制定流程。 拖放 SAP Business One是唯一一套使用拖放相关功能的解决方案,可为用户提供对整个运营的所有级别的端到端可视性,同时协助用户即时理解业务中的主要关系与交易。 查询生成器 该工具配有对准即拍查询生成功能,可用于创建数据库查询和定义报表。任何字段中的数据都可用于创建明细报表或汇总报表。当查询定义完毕,则可保存在查询库中,以备日后使用。 报表编辑器可用于细化和更改现有查询。 查询向导 此工具与查询生成器功能相近,只不过它允许用户使用向导逐步完成查询生成流程。这项工具可以帮助那些希望创建查询但不熟悉SQL语法的用户。 预定义报表 SAP Business One针对各种功能应用领域,提供了一批预定义的内置报表。每张报表均着眼于特定的业务领域,用户能够自定义报表输出内容。报表一旦生成,用户即可交付打印版或通过电子邮件进

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

电路分析基础知识归纳

《电路分析基础》知识归纳 一、基本概念 1.电路:若干电气设备或器件按照一定方式组合起来,构成电流的通路。 2.电路功能:一是实现电能的传输、分配和转换;二是实现信号的传递与处理。 3.集总参数电路近似实际电路需满足的条件:实际电路的几何尺寸l(长度)远小于电路 正常工作频率所对应的电磁波的波长λ,即l。 4.电流的方向:正电荷运动的方向。 5.关联参考方向:电流的参考方向与电压降的参考方向一致。 6.支路:由一个电路元件或多个电路元件串联构成电路的一个分支。 7.节点:电路中三条或三条以上支路连接点。 8.回路:电路中由若干支路构成的任一闭合路径。 9.网孔:对于平面电路而言,其内部不包含支路的回路。 10.拓扑约束:电路中所有连接在同一节点的各支路电流之间要受到基尔霍夫电流定律的约 束,任一回路的各支路(元件)电压之间要受到基尔霍夫电压定律约束,这种约束关系 与电路元件的特性无关,只取决于元件的互联方式。 11.理想电压源:是一个二端元件,其端电压为一恒定值U S(直流电压源)或是一定的时间 函数u(t),与流过它的电流(端电流)无关。 S 12.理想电流源是一个二端元件,其输出电流为一恒定值I(直流电流源)或是一定的时间 S 函数i S(t),与端电压无关。 13.激励:以电压或电流形式向电路输入的能量或信号称为激励信号,简称为激励。 14.响应:经过电路传输处理后的输出信号叫做响应信号,简称响应。 15.受控源:在电子电路中,电源的电压或电流不由其自身决定,而是受到同一电路中其它 支路的电压或电流的控制。 16.受控源的四种类型:电压控制电压源、电压控制电流源、电流控制电压源、电流控制电 流源。 17.电位:单位正电荷处在一定位置上所具有的电场能量之值。在电力工程中,通常选大地 为参考点,认为大地的电位为零。电路中某点的电位就是该点对参考点的电压。 18.单口电路:对外只有两个端钮的电路,进出这两个端钮的电流为同一电流。 19.单口电路等效:如果一个单口电路N1和另一个单口电路N2端口的伏安关系完全相同, 则这两个单口电路对端口以外的电路而言是等效的,可进行互换。 20.无源单口电路:如果一个单口电路只含有电阻,或只含受控源或电阻,则为不含独立源 单口电路。就其单口特性而言,无源单口电路可等效为一个电阻。 21.支路电流法:以电路中各支路电流为未知量,根据元件的VAR和KCL、KVL约束关系, 列写独立的KCL方程和独立的KVL方程,解出各支路电流,如果有必要,则进一步计算其他待求量。 22.节点分析法:以节点电压(各独立节点对参考节点的电压降)为变量,对每个独立节点 列写KCL方程,然后根据欧姆定律,将各支路电流用节点电压表示,联立求解方程,求 得各节点电压。解出节点电压后,就可以进一步求得其他待求电压、电流、功率。 23.回路分析法:以回路电流(各网孔电流)为变量,对每个网孔列写KVL方程,然后根据

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

集成电路设计答案-王志功版

集成电路设计答案-王 志功版 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

第一章 1.按规模划分,集成电路的发展已经经历了哪几代它的发展遵循了一条业界著名的定律,请说出是什么定律 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律 2.什么是无生产线集成电路设计列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。环境:IC产业生产能力剩余,人们需要更多的功能芯片设计3.多项目晶圆(MPW)技术的特点是什么对发展集成电路设计有什么意义MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。 4.集成电路设计需要哪四个方面的知识 系统,电路,工具,工艺方面的知识 第二章 1.为什么硅材料在集成电路技术中起着举足轻重的作用 原材料来源丰富,技术成熟,硅基产品价格低廉 2.GaAs和InP材料各有哪些特点P10,11 3.怎样的条件下金属与半导体形成欧姆接触怎样的条件下金属与半导体形成肖特基接触 接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触4.说出多晶硅在CMOS工艺中的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点 SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 第三章 1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

数字集成电路应用举例

数字集成电路应用举例

第15章数字集成电路应用举例教学重点: 1.掌握比较器的工作原理。 2.了解数据选择器工作原理。 3.掌握555时基电路的功能,了解555时基电路的应用。 4.了解各种集成电路的接口电路。 教学难点: 1.555时基集成电路的应用。 2.集成电路的接口电路。 学时分配: 序号内容学时 1 15.1 比较器与选择器 2 2 15.2 时基集成电路的应用 2 3 15.3 集成电路的接口电路 1.5 4 本章小结与习题0.5 5 本章总学时 6 15.1 比较器与选择器 15.1.1 数码比较器 数码比较器是能够比较两 136 图15.1.1 同比较器

137 个数码的逻辑电路。同比较器:只能比较两个数码是否相同的比较器; 大小比较器:可以比较两个数码的大小的比较器。 一、同比较器 1.电路构成:由四个异或门和一个或非门组成。 2.逻辑函数式: 0112233B A B A B A B A Y ⊕+⊕+⊕+⊕= 3.工作原理: Y = 1时,两个数相等;Y = 0时,两数不等。 二、大小比较器 1.电路构成:(一位二进制数的比较)两个非门和两个与门构成。 2.逻辑功能:当012 1 ====>i i i i i i A B Y B A Y B A ,,; 当102 1 ====

表15.1.1 一位大小比较器真值表 4.多位数码的比较 可采用逐位比较法,首先从最高位开始,依次比出结果。 15.1.2 多路选择器 多路选择器是从多个输入信号中,选择一个并且单个输出的电路。 图15.1.3是4选1选择器。 A1、A2、A3、A4及B1、B2、B3、B4为输入的多个信号,S为旋转开关,P为控制信号――输入选通脉冲。 功能:与一个单刀多掷开关相似。 适用场合:广泛运用于多输入、单输出的数据传输网络。也叫数据选择器。 图15.1.4为集成多路数据选择器。集成多路数据选择器的功能参见表15.1.2。 138

相关文档
最新文档