Debussy是一个VerilogVHDL调试工具

Debussy是一个VerilogVHDL调试工具
Debussy是一个VerilogVHDL调试工具

介绍

一、Debussy是一个Verilog/VHDL调试工具

Debussy是一套很好的Verilog/VHDL调试工具,可以帮助设计者快速理解复杂的设计,查找和定位设计中存在的问题,提高效率。

二、Debussy包含软件模块

Debussy主要有以下几个模块:

1、nTrace:超文本连接方式的源代码追踪及分析

2、nSchema:原理图显示及分析

3、nWave:波形显示及分析

4、nState:有限状态机的显示及分析

5、nCompare:分析仿真结果,比较其相异处。

Debussy的五个组成部分nTrace, nWave, nSchema, nState, nCompare在FSDB和Knowledge Database (KDB)的支持下高度集成。

三、Debussy功能

Debussy直接编译Verilog/VHDL源代码,并且采用了预综合技术识别电路的单元,可以生成原理图。在导入仿真结果后,可以用Active Annotation在源代码、原理图、状态图上动态显示变量的仿真值。

Debussy的输入为:Verilog/VHDL或混合语言源代码,仿真波形文件,SDF

支持的波形格式:vcd,fsdb,模拟波形文件(PowerMill,TimeMill,Avant! Star-Sim,SmartSpice)

支持的仿真器:VCS,Verilog-XL,NC-verilog,NC-VHDL,Modelsim,Leapfrog,Ploaris。

操作

一、启动

1. 启动

%debussy

启动debussy时,弹出一个nTrace窗口,包含三个子窗口:

a、左边是Hierarchical Browser窗口,用来显示设计的层次(design hierachy)。

b、右边是Source Code window,显示源代码。跟踪信号的驱动、负载。

c、底下是Message window(消息窗口),用来报告操作的结果。

2.导入设计

File->Import Design

Debussy提供了两种导入设计的方法:

A、从设计源文件直接导入

B、从库导入(先将源文件编译成库)

Note: 可以用命令的方式直接启动debussy并导入设计文件,即在命令行上列出所有的选项和源文件,或者把这些选项和源文件写到一个文件中,用-f选项指定这个文件。例如:

%debussy design.v -v lib.v

%debussy -f run.f

Note: 启动debussy后,会自动建立一个日志目录DebussyLog,在这个目录下,有一个日志文件:,记录了Debussy的编译信息。

3.查看编译信息:

File->View Import Log

二、根据仿真结果进行调试

1. 生成仿真的波形文件

Debussy提供的新的波形文件格式FSDB(Fast Signal DataBase),相比于VCD格式,压缩量大,比vcd文件小5-50倍,加载速度快。

Debussy提供了PLI(for Verilog)和FLI(for VHDL)接口,我们可以在仿真时直接导出FSDB文件。例如,在Verilog的测试模块中加入如下语句:

initial

begin

$dumpfile("");

$dumpvars;

end

initial

begin

$fsdbDumpfile("");

$fsdbDumpvars;

$fsdbDumpflush; // 仿真过程中同时可以把信号的值dump成FSDB文件。

end

vcs -P /share/PLI/vcs/SOLARIS2/ \

/share/PLI/vcs/SOLARIS2/pli.a \

-PP -Mupdate +neg_tchk +define+FSDB stim_st_vd.v zx2716_top.v lib.v | tee

Debussy也提供了用于转换VCD文件为FSDB文件的程序(vfast)。当用nWave导入VCD文件时,自动调用vfast转换成FSDB文件。

VCD:50min 2.1G

VPD:25min 40M

FSDB:40min 57M

nWave可以单独启动用来查看波形。作为一个波形查看工具,nWave相当不错。

2. 假设在某时间步处,某信号的改变是错误的,要找出错误的原因

(1)在nTrace窗口中调试

STEP 1:把仿真结果标注到nTrace的源代码窗口中

从nTrace窗口中选择菜单Source->Active Annotation

STEP 2:找出转换发生的来源

在nWave窗口上,双击该信号在时间步的转换处。

Note: 在nTrace的源代码窗口中将显示信号转换时的驱动,光标定位在信号“out”上(out 只是一个假设的信号)。

STEP 3:找出“out”的所有驱动

在nTrace的源代码窗口中双击“out”。

Note:在Message window中显示有多个个驱动。如果逐个跟踪,耗时长。为了提高效率,我们要找到真正起作用的那个驱动(real active drivers)。

STEP 4:找出“out”的实际驱动。

在nTrace的工具栏上点击Backward History图标,返回STEP 3。

在“out”上单击鼠标右键,在弹出菜单中选择Active Trace。

可以一直用Active Trace跟踪下去,

(2)用nSchema窗口进行调试

STEP 1:生成“out”信号的Fan-In Cone逻辑

选择菜单Tools -> New Schematic -> Fan-In Cone

STEP 2:把仿真结果标注到Fan-In Cone窗口中

选择菜单Schematic->Active Annotation

STEP 3:

分析Fan-In Cone,追溯问题根源。

Note: Fan-In Cone will stop at storage elements,functional blocks,FSMs and primary IOs. 3.比较仿真结果

STEP 1: 平铺和同步两个nWave窗口

在nWave窗口上选择菜单Window->Sync Waveform View

STEP 2: 比较信号

在nWave窗口上选择菜单Tools->Waveform Compare->Compare Selected Signal 4.查看cell延时

STEP1: Load SDF File

去掉激励文件,nTrace窗口:File -> Load SDF Files

STEP2: SDF标注

nSchema窗口:Schematic -> SDF Annotation

STEP3: 查看Cell Delay

nSchema窗口:Schematic菜单,设置Delay Scale, Delay Type, Delay Precision

Schematic -> Cell Delay

5.Interactive Mode

快捷键

L:Reload Design

Ctrl+t:Active Trace

Ctrl+w:Add Signal(s) to Wave h:Hierarchical Name

Z:Zoom In

z:Zoom Out

f:Zoom All

l:Last View

KEIL中如何用虚拟串口调试串口程序

KEIL中如何用虚拟串口调试串口程序 发表于2008/5/7 15:30:22 以前没接触过串口,一直都以为串口很复杂。最近在做一个新项目,用单片机控制GSM模块。单片机和GSM模块接口就是串口。调试完后觉得串口其实很简单。“不过如此”。这可能是工程师做完一个项目后的共同心态吧。下面详细介绍下如何用虚拟串口调试串口发送接收程序。 需要用到三个软件:KEIL,VSPD XP5(virtual serial ports driver xp5.1虚拟串口软件),串口调试助手。 1、首先在KEIL里编译写好的程序。 2、打开VSPD,界面如下图所示: 左边栏最上面的是电脑自带的物理串口。点右边的add pair,可以添加成对的串口。一对串口已经虚拟互联了,如果添加的是COM3、COM4,用COM3发送数据,COM4就可以接收数据,反过来也可以。 3、接下来的一步很关键。把KEIL和虚拟出来的串口绑定。现在把COM3和KEIL 绑定。在KEIL中进入DEBUG模式。在最下面的COMMAND命令行,输入MODE COM3 4800,0,8,1(设置串口3的波特率、奇偶校验位、数据位、停止位,打开COM3串口,注意设置的波特率和程序里设置的波特率应该一样)ASSIGN COM3 SOUT(把单片机的串口和COM3绑定到一起。因为我用的单片机是AT892051,只有一个串口,所以用SIN,SOUT,如果单片机有几个串口,可以选择S0IN,S0OUT,S1IN,S1OUT。)

4、打开串口调试助手 可以看到虚拟出来的串口COM3、COM4,选择COM4,设置为波特率4800,无校验位、8位数据位,1位停止位(和COM3、程序里的设置一样)。打开COM4。 现在就可以开始调试串口发送接收程序了。可以通过KEIL发送数据,在串口调试助手中就可以显示出来。也可以通过串口调试助手发送数据,在KEIL中接收。这种方法的好处是不用硬件就可以调试。这是网上一篇文章介绍的方法,联系我实际的使用做了整理。有用的着的人就不用继续摸索了

Tornado IDE与VxSim目标仿真环境

实验六 Tornado IDE 与 VxSim目标仿真环 境 6.1 实验目的 熟悉 Tornado开发环境,编辑、编译、下载、调试程序,熟练使用 GNU/Diab 编译器、 Cross Wind/Wind Shell 调试工具、Brower/Wind View 分析工具、VxSim目标仿真器,了解嵌 入式开发的基本方法和过程。 6.2 实验内容 (1) Hello World和 Goodbye World实验。使用 Tornado集成开发环境新建一个工作空间 和工程,通过编辑器编辑程序,并使用工程工具的 GNU编译程序,将程序下载到运行在主 机上的 VxWorks 目标仿真器,使用Wind Shell 运行程序, (2) 通过调试 Tornado 自带的 cobble 程序,掌握使用 Browse 观察目标仿真器的内存使 用情况,使用 Wind View图形化地跟踪监视示例程序的执行流程,使用Debugger 调试运行 时应用程序的错误。 6.3 实验软硬件环境 硬件: 主机: PIII800 ,内存 256M ,硬盘 30G 及以上 目标机:VxSim目标仿真器 软件: 主机: Windows2000/XP,Tornado2.2 目标机:VxWorks5.5 6.4 实验预备知识 Tornado 软总线体系结构,Tornado的工具集组成及其功能。 6.5 实验项目与步骤 6.5.1 Hello World 与 Goodbye World 实验 在本实验中,要求使用 Tornado工具编写一个“Hello World”的程序。该程序中有两个 函数,可以在 Tornado的 Wind Shell 工具中输入这两个函数的名称来启动这两个函数执行。 步骤见下。

Debussy 仿真快速上手教程

快速上手五部曲: (Debussy v.5.2) 1. Import Files and generate FSDB file 2. Trace between hierarchy browser and source code 3. Trace between hierarchy browser、source code and schematic 4. Trace between hierarchy browser、source code、schematic and waveform 5. nLint (nState本文没介绍,有兴趣的读者,请依文后的连结,自行下载CIC所提 供的NOVAS原厂编写教材参考) 1. Import Files and generate FSDB file 1.1 启动Debussy:% Debussy &(此处的D大小写都可以,但其它指令的大小写 可能就有差别) 开启nTrace window如下,此时工作目录下会新建一个"DebussyLog"目录

1.2 Import Files:File \ Import Design

结果如下图所示:nTrace视窗中,含有三个区域,Hierarchical Brower、Source code window、Message window。 (如果不想看波形,只想查看source code与schematic的关係,您可以直 接跳到step 2.1) 此时DebussyLog目录内会有Debussy.cmd、turbo.log、compiler.log三个档案。Debussy.cmd纪录所有import的档案与之后所有的执行程序。我们可以直接引用这个档案来执行上一次我们做过的一连串程序(refer to 1.5)。 1.3 设定外部模拟器,藉其產生FSDB file: Tools \ Options \ Preferences

串口调试助手使用方法

串口调试助手使用方法 你可以试试串口监控器,一个功能强大,非常易用的软件。 串口监控器是一个免费的多功能串口通讯监控软件,它能够多种方式显示,接收,分析通讯数据;能够以多种灵活方式发送数据;功能强大,操作简便,在串口通讯监控,设备通讯测试中,能够有效提高工作效率。 主要功能如下: 接收数据: 1. 以十六进制方式显示接收到的数据。 2. 以字符方式显示接收到的数据。 3. 数据帧自动识别,分行显示。 4. 接收数据自动换行设置。 5. 显示或隐藏数据帧的接收时间。 6. 自动清除,自动保存接收到的数据。 7. 接收数据个数计数。 发送数据: 1. 十六进制方式发送数据。 2. 字符串方式发送数据。 3. 发送“发报窗口”当前光标行的数据帧。 4. 循环发送“发报窗口”当前光标行的数据帧。 5. 循环发送“发报窗口”固定行的数据帧。 6. 循环依次发送“发报窗口”的多行数据帧。(设置起始行,行数) 7. 触发发送,接收到“发报窗口”某一行数据,触发发送“发报窗口”另一行数据。 8. 发送数据个数计数。 实用增强功能: 1. 强大易用的进制转换功能。 2. 智能识别当前光标处数据帧的行号,“字符”或“十六进制数”的个数。 3. 智能计算当前选择的“字符”或“十六进制数”的个数。 4. 强大的数据查找功能。 5. 定时保存,定时清除数据。 6. 根据自己的喜好,灵活变换操作界面。

应用场合: 1. 截取和分析设备之间通讯数据流。 2. 串行外围设备硬件开发。 3. 串行设备驱动程序开发。 4. 调试和测试设备和设备之间的串行通讯过程。 5. 记录和分析RS232/422/485通信过程。 6. 模拟某设备通讯过程,对另外设备进行通讯测试。

modelsim仿真小结

Modelsim仿真小结 Modelsim的基本仿真流程大致分以下几个步骤:建库、编译工程、前后仿真、调试等。Modelsim仿真既可以在modelsim界面操作,也可以用do文件实现,这里结合学习的教程、网上看到的资料,和实际遇到的一些问题,分别做一整理小结。 1.建库 建库包括Altera库和Xilinx库,同时都包括Verilog和VHDL。这里只建了Verilog库,VHDL和Verilog步骤相同。 对于Altera库主要包括lpm元件库、Mega_Function库atera_mf、altera原语库altera_primitive和各器件系列模型库。前三种是调用altera模块的必备库,第四种是进行综合后功能仿真和布线后时序仿真需要的库,和器件系列有关,只选对应系列即可。 Altera库创建和编译步骤如下: a)在Modelsim安装目录下新建文件夹,命名altera_lib,以存放编译后的库文件,可 以在altera_lib下新建Verilog和VHDL两个子文件夹,分别存放Verilog和VHDL库。 b)打开Modelsim,新建Library,file ->new->library .. c)如下图,创建lpm库,路径E:\modeltech_10.1a\altera_lib\Verilog\lpm

d)添加库文件,并编译,compile -> compile …,出现compile source files窗口, library 指定到lpm下,查找范围,选quartus安装目录下… eda\sim_lib目录里的仿真原型文件:220model.v ,点c ompile ,点done。 到此,lpm库建立完毕。 e)同理,建立altera_mf库添加altera_mf.v ,建立primitive库添加altera_primitive.v 建立各系列的模型库,命名可用系列名加_ver“xxx_ver”,也可随意吧,添加各系列的xxx_atoms.v。 这里,也可以把以上库放在一个文件夹,这样做简单,一次就搞定,分开也就是条理清楚,没人去看,所以没必要。 f)修改modelsim.ini文件,为的是让modelsim能自动map到已经编译的这些库上。 先去掉只读属性,在[Library]和[vcom]之间加上:库名=库路径[绝对路径或者相对路径],相对路径是相对于modelsim安装路径,modelsim安装路径用$MODEL_TECH 表示。 这里所有库都放在E:\modeltech_10.1a\altera_lib下,库名是altera_lib,这里只添加一句:altera_lib = E:\modeltech_10.1a\altera_lib g)保存退出,改回modelsim.ini只读属性,防止乱改。至此altera库建立完毕。 Xlinx库创建和编译步骤如下: 相比altera,xilinx建库很方便。xilinx有批处理命令,直接在console命令窗口,输入compxlib命令,调出编译库的窗口,先改掉modelsim安装目录下modelsim.ini只读属性。设置如下图:

常用通讯测试工具使用

常用通讯测试工具 鉴于很多MCGS用户和技术人员对通讯测试工具并不很熟悉,本文档将针对实际的测试情况,对串口、以太网通讯调试过程中所涉及到的常用的测试软件进行相关的讲解。 1. 串口测试工具: 串口调试工具:用来模拟上下位机收发数据的串口工具,占用串口资源。如:串口调试助手,串口精灵,Comm等。 串口监听工具:用来监听上下位机串口相关操作,并截获收发数据的串口工具。不占用串口资源。如:PortMon,ComSky等。 串口模拟工具:用来模拟物理串口的操作,其模拟生成的串口为成对出现,并可被大多数串口调试和监听软件正常识别,是串口测试的绝好工具。如:Visual Serial Port等。 下面将分别介绍串口调试助手、Comm、PortMon和Visual Serial Port的使用。

1.1. 串口调试助手: 为最常用的串口收发测试工具,其各区域说明及操作过程如下: 串口状态 打开/关闭串口 十六进制/ASCII 切换 串口数据 接收区 串口参数 设置区 串口数据 发送区 串口收发计数区 发送数据功能区 保存数据功能区 操作流程如下: ? 设置串口参数(之前先关闭串口)。 ? 设置接收字符类型(十六进制/ASCII 码) ? 设置保存数据的目录路径。 ? 打开串口。 ? 输入发送数据(类型应与接收相同)。 ? 手动或自动发送数据。 ? 点击“保存显示数据”保存接收数据区数据到文件RecXX.txt。 ? 关闭串口。 注:如果没有相应串口或串口被占用时,软件会弹出“没有发现此串口”的提示。

1.2. PortMon 串口监听工具: 用来监听上下位机串口相关操作,并截获收发数据的串口工具。不占用串口资源, 但在进行监听前,要保证相应串口不被占用,否则无法正常监听数据。 连接状态 菜单栏 工具栏 截获数据显示区 PortMon 设置及使用: 1). 确保要监听的串口未被占用。 如果串口被占用,请关闭相应串口的应用程序。比如:要监视MCGS 软件与串口1设备通讯,应该先关闭MCGS 软件。 说明:PortMon 虽不占用串口资源,但在使用前必须确保要监听的串口未被占用,否则无法进行监视。 2). 运行PortMon,并进行相应设置。 ? 连接设置: 在菜单栏选择“计算机(M)”->“连接本地(L)”。如果连接成功,则连接状态显示为“PortMon 于\\计算机名(本地)”。如下图:

modelsim和NCverilog的区别

Modelsim和NCverilog的比较 集成电路的发展趋势是,单位面积集成的晶体管的数目越来越多,可靠性越来越越高、稳定性越来越好。现在芯片集成度已经超出可人们的想象,有的芯片内部集成了数百万的晶体管,已经远远超出了人的大脑可以分析的范围。这就对EDA工具提出了更高的要求,不管是设计、仿真、综合软件的发展,都给集成电路工程师带来了巨大的便利。 modelsim是Mentor graphics公司推出的HDL代码仿真工具,也是业界最流行的HDL仿真工具之一。支持图形界面操作和脚本操作,常见的图形界面操作相对直观,但是由于重复性操作几率高、处理效率低、工程的非保存性,对于大规模的代码仿真不推荐使用;脚本操作完全可以克服以上的缺点,把常见的命令,比如库文件和RTL加载、仿真、波形显示等命令编辑成.do脚本文件,只需要让Modelsim运行.do文件即可以完成仿真,智能化程度高。 NCverilog是candence公司推出的Verilog HDL的仿真工具。NC-Verilog是Verilog-XL的升级版, 它采用Native-Compiled技术, 无论仿真速度, 处理庞大设计能力, 编辑能力, 记忆体容量和侦错环境都以倍数升级。C-Verilog是一个编译仿真器,它把Verilog代码编译成Verilog程序的定制仿真器。也就是它把Verilog 代码转换成一个C程序,然后再把该C程序编译成仿真器。因此它启动得稍微慢一些,但这样生成的编译仿真器运行得要比Verilog-XL的解释仿真器快很多。Ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;Ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step;Ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作,hncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式。 Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f 中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译。 Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化。 ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top" 第二个参数: A -- signals of the specific scrope

串口调试助手使用规范V15.01(试用)

串口调试助手使用规范(试用) ——截取指令方法
版本:V15.01 日期:2015.0128 类别:APP 使用规范
1
串口调试助手使用规范(试用) 截取指令方法
广州市英沙电子系统有限公司 2015-01-28 发布

串口调试助手使用规范(试用) ——截取指令方法
版本:V15.01 日期:2015.0128 类别:APP 使用规范
2
目 录
1 引言 ................................................................................................................................................................................... 3 2 使用前准备........................................................................................................................................................................ 3 3 串口调试助手及其安装 ................................................................................................................................................... 3 4 串口线与设备的物理连接 ............................................................................................................................................... 4 5 串口调试助手截取指令步骤 ............................................................................................................................................ 4 6 分析截取的指令............................................................................................................................................................... 6?

浅谈Tornado2.2下程序调试

浅谈Tornado2.2下程序调试 “调试一段程序的难度是写出这段程序的难度的两倍,因此,如果你的代码尽可能清楚,那么你就不用费力的调试它”-- Brian W. Kernighan “有时周一宁愿休息而不是花一周余下的时间调试周一的代码” -- Christopher Thompson ….. ….. 调试对于软件的成败至关重要,正确使用恰当的调试工具可以提高发现和改正错误的效率。 一、调试前的准备工作 1、保证目标机跟主机能网络正常通信。如果tornado是装在虚拟机中,则要保 证虚拟机跟目标机能网络通信。用ping xx.xx.xx.xx –l 1500命令。之所以后面要加-l 1500是为了保证最大包也能ping通,如果不加-l 则为ARP包,ARP包只有64个字节。在网络通信中会出现小包能ping通,大包ping不通的情况。 2、保证WDB为Ready状态 图1 如果WDB为unReady状态,很可能是WDB的组件没有加。 二、配置Target Server Target Server是Tornado集成交叉开发环境中最重要的工具。这个工具负责主机与目标机的连接,为其他的工具使用提供通信桥梁。 点击”Tools->Targer Server->configure”。出现如下图2所示:

图2 Target server配置界面 配置界面中Targer Server要填入主机的IP地址,Targer Server PropertiesBack End(后端)默认选择wdbrpc ,它是最常用的连接类型,其支持任意种IP连接(如以太网)。Target Name/Ip Address需要填入目标机的IP地址。主机和目标机的IP地址可以在bootrom起来后看到,如图3所示。 图3 bootrom启动打印 Targer Server Properties 之Core File and Symbols,在File选项中选择主机vxworks镜像的路径,如图4所示。

Ncverilog_的一些经验

Ncverilog 的一些经验 1.Verilog和Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译 2.Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化. ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top", 第二个参数: A -- signals of the specific sc rope S -- Ports of the specified s cope and below, excluding library cells C -- Ports of the specified s cope and below, including library cells AS -- Signals of the specifie d scope and below, excluding library cells AC -- Signals of the specifie d scope and below, including library cells 还有一个 M ,表示当前scope的m emories, 可以跟上面的结合使用, "AM" "AMS" "AMC" 什么都不加表示当前scope的por ts; $shm_close //关闭数据库 2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化. ex). $dumpfile("filename"); //打开数据库 $dumpvars(1, top.u1); //scope = top.u1, depth = 1 第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope. $dumpvars; //depth = all scope = all $dumpvars(0); //depth = all scope = current $dumpvars(1, top.u1); //depth = 1 scope = top. u1 $dumpoff //暂停记录数据改变,信号变化不写入库文件中 $dumpon //重新恢复记录 3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debus sy结合,方便调试.

Debussy是一个VerilogVHDL调试工具

介绍 一、Debussy是一个Verilog/VHDL调试工具 Debussy是一套很好的Verilog/VHDL调试工具,可以帮助设计者快速理解复杂的设计,查找和定位设计中存在的问题,提高效率。 二、Debussy包含软件模块 Debussy主要有以下几个模块: 1、nTrace:超文本连接方式的源代码追踪及分析 2、nSchema:原理图显示及分析 3、nWave:波形显示及分析 4、nState:有限状态机的显示及分析 5、nCompare:分析仿真结果,比较其相异处。 Debussy的五个组成部分nTrace, nWave, nSchema, nState, nCompare在FSDB和Knowledge Database (KDB)的支持下高度集成。

三、Debussy功能 Debussy直接编译Verilog/VHDL源代码,并且采用了预综合技术识别电路的单元,可以生成原理图。在导入仿真结果后,可以用Active Annotation在源代码、原理图、状态图上动态显示变量的仿真值。 Debussy的输入为:Verilog/VHDL或混合语言源代码,仿真波形文件,SDF 支持的波形格式:vcd,fsdb,模拟波形文件(PowerMill,TimeMill,Avant! Star-Sim,SmartSpice) 支持的仿真器:VCS,Verilog-XL,NC-verilog,NC-VHDL,Modelsim,Leapfrog,Ploaris。

操作 一、启动 1. 启动 %debussy 启动debussy时,弹出一个nTrace窗口,包含三个子窗口: a、左边是Hierarchical Browser窗口,用来显示设计的层次(design hierachy)。 b、右边是Source Code window,显示源代码。跟踪信号的驱动、负载。 c、底下是Message window(消息窗口),用来报告操作的结果。 2.导入设计 File->Import Design Debussy提供了两种导入设计的方法: A、从设计源文件直接导入 B、从库导入(先将源文件编译成库) Note: 可以用命令的方式直接启动debussy并导入设计文件,即在命令行上列出所有的选项和源文件,或者把这些选项和源文件写到一个文件中,用-f选项指定这个文件。例如: %debussy design.v -v lib.v %debussy -f run.f Note: 启动debussy后,会自动建立一个日志目录DebussyLog,在这个目录下,有一个日志文件:,记录了Debussy的编译信息。 3.查看编译信息: File->View Import Log 二、根据仿真结果进行调试 1. 生成仿真的波形文件 Debussy提供的新的波形文件格式FSDB(Fast Signal DataBase),相比于VCD格式,压缩量大,比vcd文件小5-50倍,加载速度快。 Debussy提供了PLI(for Verilog)和FLI(for VHDL)接口,我们可以在仿真时直接导出FSDB文件。例如,在Verilog的测试模块中加入如下语句:

很好的串口调试工具--ComTools

一个很好的串口调试工具,可以根据自己的需求设置参数。界面也很美观。ComTools是功能齐全,操作方便的串口调试工具,是单片机通讯开发的最佳助 手! 本软件完全免费,无任何功能时间限制。 主要功能如下: 1.可设置各种通讯速率、奇偶校验、数据位和停止位; 2.可分别设置ASCII码(包括中文)或十六进制数据格式的发送模式或接收模式; 3.能将接收数据保存成文本文件,便于观察分析; 4.自动保存设置参数,无需每次重新设置; 5.可以设置16条定时自动发送的数据,以及每条数据发送后的延时时间; 6.可以同时监控2个串口,利用特制电缆可监听2个串口设备之间的通讯过程; 7.发送数据时,可自动计算并添加和校验发送; 8.DTR自动控制是为了方便RS485通讯测试而添加的功能; 9.方便的查找功能,使你可以轻松搜索接收文本框中的字符; 10.使用快捷键可快速调用最近五次发送的不同数据。 使用帮助 1.主窗口上12个指示灯分别代表两个串口以及DTR,RTS,CTS,DSR,CD各信号当前状态。 绿色代表“有效”状态,红色代表“无效”状态。 点击左边的一个'端口状态'指示灯,可以打开和关闭相应串口。 点击'DTR'或'RTS'状态指示灯,可以切换'DTR'线或'RTS'线的当前有效或无效状态。 2.选定'数据记录'功能后,通讯过程中两个串口接收的数据都将按HEX格式写入指定的文本文件中。 您可以修改默认的文件名称。

3.在发送文本框输入你要发送的数据,点击按钮"发送[PortA]"数据将从端口PortA发送, 点击按钮"发送[PortB]"数据将从端口PortB发送。 本软件启动时,串口状态默认为关闭,点击发送按钮将自动打开相应串口。 发送数据前,可以选择发送模式为"ASC"或"HEX"。 另外,也可以单独设置接收模式为"ASC"或"HEX"。 4.打开"串口设置"对话框,可以分别设置PortA 和 PortB 的串口参数。 格式如下: "BBBB,P,D,S" BBBB 为波特率,P 为奇偶校验,D 为数据位数,S 为停止位数。 本软件的缺省值是:"9600,N,8,1" 合法的波特 率:110,300,600,1200,2400,9600,14400,19200,28800,38400,56000,128000,25 6000 合法的奇偶校验值: "E" 偶数 (Even) ; "M" 标记 (Mark) ; "N" 无校验(None) ; "O" 奇数 (Odd) ; "S" 空格 (Space) 合法的数据位值: 4,5,6,7,8 合法的停止位值: 1, 1.5, 2 PortA 和 PortB 的串口设置分别显示在主窗口状态栏左右两侧。 5.如果将'DTR控制'设为"YES",发送数据时DTR线将保持'有效'状态, 数据发送完毕DTR线将立即设为'无效"状态。 12个状态指示灯,每隔0.5秒刷新一次状态。 6.插入空格功能是为方便观察接收数据,打开'插入空格'功能后, 每接收一个字节数据将在接收文本框插入一个空格。

TORNADO环境下的命令行编译文件

Tornado环境下的命令行编译文件 Table of contents目录 T ABLE OF CONTENTS目录 (1) 1TORNADO及其编译工具简介 (2) 2两种编译工具下的C/C++文件编译 (4) 2.1GNU T OOL的编译 (4) 2.2D IAB T OOL的编译 (5) 3MAKEFILE的编译 (6) 3.1特殊变量的定义(以DCN DS45XX项目为例) (6) 3.2MAKEFILE.LOCAL的建立 (7) 3.3命令实现 (8) 4REFERENCE DOCUMENTS参考文献 (9)

1Tornado及其编译工具简介 Tornado是嵌入式实时领域里最新一代的开发调试环境。Tornado给嵌入式系统开发人员提供了一个不受目标机资源限制的超级开发和调试环境。Tornado 包含三个高度集成的部分: ·运行在主机和目标机上的强有力的交叉开发工具和实用程序; ·运行在目标机上的高性能、可裁剪的实时操作系统VxWorks; ·连接主机和目标机的多种通讯方式,如:以太网,串口线,ICE或ROM 仿真器等。 对于不同的目标机,Tornado给开发者提供一个一致的图形接口和人机界面,如图1-1所示。 图1-1 当使用Tornado的开发人员转向新的目标机时,不必再花费时间学习或适应新的工具;对深嵌入式应用开发者来说更重要的是,Tornado所有的工具都是驻留在开发平台上的。在嵌入式系统工具发展历史上,Tornado是第一个实现了当目标机资源有限时开发工具仍可使用而且功能齐全的开发环境。另外,所有工具都通过一个中央服务器(Target Server)与目标机的通讯,因此无论连结方式是Ethernet,还是串口线、ICE仿真器、ROM仿真器或客户设计的调试通道,所有工具均可使用。 Tornado提供了一套完整的GNU Tool开发工具链,其中包括: ·CPP:C预处理

win7下串口调试工具超级终端和secureCRT

1.win7下使用超级终端 我们知道在XP系统中,超级终端是系统自带的工具,但是在win7中,这一工具系统不再附带。但是这款工具在win7中确实是可以使用 的。使用方法如下: 1.在XP系统中C:ProgramFiles->Windows NT复制文件 hypertrm.exe到win7系统中的C:Windows->system中 2.在XP系统中C:Windows->System32赋值文件hypertrm.dll到 win7系统中的C:Windows->system中 3.在XP系统中C:Windows->System32赋值文件hticons.dll到win7 系统中的C:Windows->system中 其实完成第一步和第二步就可以使用了,第三步的文件只是图标文件,但是有了使用起来更好。可能很多人手边上没有XP系统,我将在 附件中上传这几个文件,供大家下载使用。 赋值完成之后的C:Windows->system文件夹截图如下:

完成上述步骤后,打开开始菜单,找到附件选项,我们就能看见超级终端了: 点击上图标记地方的文件,我们就可以在win7下使用超级终端了。 2.使用secureCRT调试串口的步骤: 1.打开secureCRT之后

点击上图标记处,开始进行连接。 2.点击下图中标记处,新建一个连接

3.在下图的协议选择框里,选择serial,串行协议,然后下一步

4.在下图对串口进行串口号,波特率等的设置,然后下一步 5.给所建的串口连接起一个名字,然后下一步 点击完成,至此我们就新建了一个串口连接。 我们可以看见我们刚才新建的串口的连接:

有关modelsim的问题汇总

问题一:编译xilinx仿真库 在网上搜了无数帖子,结合自己数小时的实践,终于搞定了Xilinx仿真库。 测试环境:Windows XP3,Xilinx ISE Suite 10.1,ModelSim SE 6.5c 使用ISE自带的库生成工具是最方便的方法。 首先打开ISE,在Edit->Preferences...->ISE General 的Intergrated Tools 的Model Tech Simulator中指定Modelsim.exe的实际安装路径。(在这里我的路径是D:\Work\modeltech_6.5c\win32\modelsim.exe,请根据自己的实际情况更改)。OK以后退出ISE。 然后到ModelSim安装目录下找到ModelSim.ini文件,右键将其只读属性去掉,确定。 按下WIN+R,输入compxlibgui后回车,这其实就是自带的工具Simulation Library Compilation Wizard。点击Select simulator,选中ModelSim。检查路径正确了以后选Next。再Next,建议再Next,或者根据自己的实际需要选择FPGA/CPLD的具体型号。继续Next,在Map only to existing pre-compiled libraries前打上勾,在Output Directory for modelsim.ini file using mapped libraries中选择modelsim安装根目录modelsim.ini所在的路径,这一步很重要。再点击Next就开始编译了。 最后把ModelSim.ini文件的只读属性改回去,万事OK…… 问题二: Hello all, Whenever I need to look at a filter output (or any DSP core), I used to define a real signal and assign it to what I want to examine, roughly something like this: dac_real_out <= hex2real(dac_holder, 12.0); where dac_holder is a std_logic_vector. It is much easier to look at an analogue signal waveform instead of tracing hex values word by word. This used to work quite alright using ModelSim XE edition. Now for some reason, it seems I can't get it to compile my design---I get the following error: # ** Error: (vcom-42) Unsupported ModelSim library format for "work". (Format: 3) My first question is: can I go around it as I've never encountered this before.

大傻串口调试软件2.0 使用手册

ComMonitor大傻串口调试软件 使用说明书 (2.0) 编写:大傻 Email: david_parkins@https://www.360docs.net/doc/2f16245694.html, 大傻工作室 二零零六年三月

目录 一、介绍 (2) 二、软件界面 (3) 2.1 软件主界面 (3) 2.2 最大化界面 (4) 2.3窗口置顶 (4) 2.4关于程序 (5) 三、显示区控制 (7) 3.1显示发送 (7) 3.2帧换行 (7) 3.3显示保存发送和接收时间 (8) 3.4关键字过滤 (9) 3.5 保存显示区内容 (9) 四、发送区数据发送控制 (11) 4.1 发送区数据格式说明 (11) 4.2手动控制 (11) 4.3自动发送 (12) 4.4 校验码计算 (12) 五、轮发功能 (14) 5.1 发送区轮发 (14) 5.2文件轮发 (14) 5.3定时轮发 (16) 5.4 帧回应轮发 (17) 六、常见问题索引 (19) 6.1 串口不能打开 (19) 6.2 接收到的数据不对 (19) 6.3 接收区没有显示 (19) 6.4 自动发送为什么没有发送数据 (19) 6.5 谁是大傻 (19) 6.6 如何联系大傻 (19) 6.7 如何获得最新的ComMonitor软件 (19) 6.8 关于ComMonitor软件源代码 (20)

一、介绍 ComMonitor是大傻两年前写的一个软件,当初由于工作的原因,常常需要调试串口通讯,又没有找到合适的串口调试软件,就在两年前的十一放假期间自己写了一个。之后一直都是自己和同事使用。后来很多同事反映很好用,建议我放到网上共享。再后来又根据同事和网友的建议,进行了一些修改,就形成了今天的版本。 ComMonitor追求的是方便易用,能调试大多数的串口通讯应用。所以,在界面上都没有做什么处理。当初写这个软件的时候,参考了串口调试助手,但又根据自己工作中的需求,添加了更多的功能。具体来讲,它除了具备一般的串口调试工具的功能以外,还具有以下特别之处: 1、支持多达20个串口,对于使用多串口卡或虚拟串口的用户是很必要的; 2、加入了接收显示与保存的关键字过滤功能; 3、具备接收显示与保存时帧换行功能,40ms未受到数据,认为一帧结束; 4、具有记录发送和接收时间的功能; 5、支持3个独立的发送区; 6、3个发送区可以以定时或响应等两种方式进行轮发; 7、具有计算CRC16、CRC8等校验码的功能; 8、自动保存上一次使用的端口配置; 9、自动保存上一次发送区的数据; 10、具有文件按行发送轮发功能,发送方式也可以采用定时和响应两种方式; 11、轮发响应方式中,加入了超时判断,和自定义多次重发功能; 12、所有参数和数据支持运行时改变,不需要重新启动; ComMonitor设计伊始就是针对调试串口设备通讯的。所以,上面的很多特点为调试各种带串口通讯的智能设备提供了极大的方便。ComMonitor也可以用来模拟带串口通讯的智能设备,与您的上位机监控系统进行通讯。ComMonitor 虽然还只是一个小的工具软件,但他是大傻工作经验、一些想法和辛勤劳动的结晶。希望能给大家的工作带来方便。如果您对ComMonitor有什么建议,也请不吝赐教。 在ComMonitor以后的版本中,大傻还打算做一些升级,包括提供一些更实用的功能,界面美化等等。为了保证大家能及时拿到最新版的ComMonitor软件,请大家发email给大傻告诉大傻你的email。

tornado调试工具介绍

T ornado调试工具介绍 网络上海软件开发二部 crossWind (tornado debugger) 重要的设置步骤: 1、配置tools->target server->config a、在target name/ip address中输入目标板子的ip地址。 b、在back end 处选上wdprpc,如果网络不好,可以在timeout中输入2s c、在core file and symbols的文件中选上需要调试的vxWorks或vxWorks.st d、memory cache Size 设置为10000。 e、这样就可以启动这个target server了 2、Debug->Source search path 中加上你要调试的原代码的路径。 典型故障: 1、PING目标机也通,想调试程序,但target server 启不来,现象如下: Connecting to target agent... Error: rpccore backend client Unable to receive failed. 解决:检查target server 的配置,其中Core file应选为下载的vxworsk image。假设target server 的配置是正确的,那么就检查Tornado中对vxworks的配置,选择wdb connection为end 方式。 2、启动target server后,出现 Warning: Target checksum: 0xa7fc (computed from 0x108340 to 0x2170d0). Host checksum: 0xa8fd (computed from 0x1830380 to 0x193f110). Warning: Core file checksums do not match. 解决:下载的VxWorks核和target server路径下的文件不是同一个文件,有可能是因为重新编译了VxWorks(即使不修改任何内容,两次编译的结果仍然会不同)。 3、启动target server后,出现 Warning: Target server cache for agent memory is full. Use the '-m' option to increase the target server cache. 解决:target Server的Memory cache size默认为1M,适当加大。 常用功能: breakPoint:设置断点,当任务执行到本断点后会stop,前提是我们设置了auto attach to task,在没有设置此选项的情况下,必须手工attach 到某一任务。有全局断点和任务断点

相关文档
最新文档