液晶屏显示数字时钟

液晶屏显示数字时钟
液晶屏显示数字时钟

专业综合课程设计报告题目:用液晶屏显示数字时钟

专业班级:14信工一班

学生姓名:黄家新

学号:20142312

指导教师:许晓丽

摘要

随着电子科技的不断发展,电子技术正在改变我们的学习、生活、工作,因此本开发希望带给大家一种生活上的乐趣。

本系统采用AT89C51单片机作为主控制器,选用DS1302实时显示时钟与相关的外围电路组成控制系统,将DS1302采集到的测量信号传送到单片机进行处理,经分析后再传送给LM016L液晶屏,从而达到实时数字时钟显示在LM016L 上面的目的。

目录

1.设计任务与要求 (4)

1.1目的与意义 (4)

1.2设计任务 (4)

1.3设计要求 (4)

2.设计方案选择与论证 (4)

2.1方案正确的要求 (5)

2.2时间的显示 (5)

2.3原理与最小位置的功能 (6)

3.软件设计 (6)

3.1软件设计程序的选择 (7)

3.2软件的仿真图 (8)

4.结论 (8)

1 设计任务与要求

1.1 目的和意义

1. 掌握AT89C51芯片的功能。

2. 会用DS1302设计时钟。

3. 掌握绘制仿真图。

1.2 设计任务

本文要设计一个基于单片机的时间控制系统。具体技术指标要求如下:

选用DS1302实时显示时钟与相关的外围电路组成控制系统,将DS1302采集到的测量信号传送到单片机进行处理,经分析后再传送给LM016L液晶屏,从而达到实时数字显示在LM016L上面的目的。

1.3 设计要求

本文要设计一个基于单片机的时间控制系统。具体技术指标要求如下

设计可调开关,可以调节时间与日期。

进入调时:点击后进入调时,调时光标会出现在LM016L上。

调时移动:点击后原本的光标会移动一个单位。

加一/减一:点击后,会在原本的数值上面加一/减一。

退出调时:点击后,光标消失。

2 设计方案选择与论证

2.1 方案正确的要求

本文要设计一个基于单片机的时间控制系统。具体技术指标要求如下:

proteus可以正常运作,并且点击5个开关,会有相应的改变并伴随着蜂鸣器的声音,当时间,日期全都正确的时候表示方案是成功的。

2.2时间的显示

ML016L和1602一样,都是两行十六列的液晶显示屏。通过程序对ML016L 进行初始化后,通过写指令和写数据的操作就可以再液晶屏上显示出对应的数据,而时钟数据则是从DS1302获取。这样可以显示出时间。

2.3原理与最小位置的功能

一、AT89C51

AT89C51 提供以下标准功能:4k 字节Flash 闪速存储器,128字节内部RAM,32 个I/O 口线,两个16位定时/计数器,一个5向量两级中断结构,一个全双工串行通信口,片内振荡器及时钟电路。同时,AT89C51可降至0Hz的静态逻辑操作,并支持两种软件可选的节电工作模式。空闲方式停止CPU的工作,但允许RAM,定时/计数器,串行通信口及中断系统继续工作。掉电方式保存RAM中的内容,但振荡器停止工作并禁止其它所有部件工作直到下一个硬件复位。

XTAL1:单芯片系统时钟的反向放大器输入端。

XTAL2:系统时钟的反向放大器输出端,一般在设计上只要在XTAL1和XTAL2上接上一只石英震荡晶体系统就可以工作了,此外可以在两引脚与地之间加入20PF的小电容,可以使系统更稳定,避免噪音干扰而死机。

RESET:重置引脚,高电平动作,当要对晶体重置时,只要对此引脚电平提升至高电平并保持两个及其周期以上的时间便能完成系统重置的各项动作,使得内部特殊功能寄存器内容均被设成已知状态。

电路接口说明:

AT889C51 的P0口作为数据的输出端。

二、DS1302

DS1302 是美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时

时钟电路,它可以对年、月、日、周、时、分、秒进行计时,具有闰年补偿功能,工作电压为2.5V~5.5V。采用三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号或RAM数据。DS1302内部有一个31×8的用于临时性存放数据的RAM寄存器。DS1302是DS1202的升级产品,与DS1202兼容,但增加了主电源/后备电源双电源引脚,同时提供了对后备电源进行涓细电流充电的能力。

1)Vcc1:后备电源,VCC2:主电源。在主电源关闭的情况下,也能保持时钟的连续运行。DS1302由Vcc1或Vcc2两者中的较大者供电。当Vcc2大于Vcc1+0.2V时,Vcc2给DS1302供电。当Vcc2小于Vcc1时,DS1302由Vcc1供电。2)X1、X2:振荡源,外接32.768kHz晶振。

3)RST:复位/片选线,通过把RST输入驱动置高电平来启动所有的数据传送。RST输入有两种功能:首先,RST接通控制逻辑,允许地址/命令序列送入移位寄存器;其次,RST提供终止单字节或多字节数据的传送手段。当RST为高电平时,所有的数据传送被初始化,允许对DS1302进行操作。如果在传送过程中RST置为低电平,则会终止此次数据传送,I/O引脚变为高阻态。上电运行时,在Vcc>2.0V之前,RST必须保持低电平。只有在SCLK为低电平时,才能将RST置为高电平。

4)I/O为串行数据输入输出端(双向)。

5)SCLK为时钟输入端。

三、RESPACK-8

一般是接在51单片机的P0口,因为P0口内部没有上拉电阻,不能输出高电平,所以要接上拉电阻。排阻就是好多电阻连载一起,他们有一个公共端。1端为公共端接VCC或地,其他接需要要操作的端口。

四、LM016L

LM016L与1602使用方法相同。

引脚说明:

引脚符号功能说明

1 VSS 一般接地

2 VDD 接电源(+5V)

3 VEE 液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。

4 RS RS为寄存器选择,高电平1时选择数据寄存器、低电平0时

选择指令寄存器。

5 R/W R/W为读写信号线,高电平(1)时进行读操作,低电平(0)时进

行写操作。

6 E E(或EN)端为使能(enable)端,下降沿使能。

7 DB0 底4位三态、双向数据总线0位(最低位)

8 DB1 底4位三态、双向数据总线1位

9 DB2 底4位三态、双向数据总线2位

10 DB3 底4位三态、双向数据总线3位

11 DB4 高4位三态、双向数据总线4位

12 DB5 高4位三态、双向数据总线5位

13 DB6 高4位三态、双向数据总线6位

14 DB7 高4位三态、双向数据总线7位(最高位)(也是busy flang)原理:

AT89C51单片机作为主控制器,选用DS1302实时显示时钟与相关的外围电路组成控制系统,将DS1302采集到的测量信号传送到单片机进行处理,经分析后再传送给LM016L液晶屏,从而达到实时数字时钟显示在LM016L上面的目的。

3.软件设计

3.1软件设计程序的选择

本设计选择C语言作为编程语言。C语言虽然执行效率没有汇编语言高,但语言简洁,使用方便,灵活,运算丰富,表达化类型多样化,

数据结构类型丰富,具有结构化的控制语句,程序设计自由度大,有很

好的可重用性,可移植性等特点。而汇编语言使用起来并没有这么方便。

本设计选用了Keil作为编程软件,.Keil C51生成的目标代码效率非常

之高,多数语句生成的汇编代码很紧凑,容易理解。在开发大型软件时

更能体现高级语言的优势。

3.2软件的仿真图

4 结论

总的来说,系统实现了用LM016L显示时钟的功能,并且可以通过开关调节时间。

参考文献

《基于51单片机的时钟设计》

《DS1302可调电子时钟1602显示proteus仿真》

简单51单片机数字时钟设计

题目:简单51单片机数字时钟设计 院系: 物理与电气工程学院 专业:自动化专业 班级:10级自动化 姓名:苏吉振 学号:2 老师:李艾华

引言 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS 化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发展趋势。 单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟是采用数字电路实现对时,分,秒数字显示的计时装置,广泛用于个 人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。

数字电子时钟设计

电子技术课程设计 数字电子时钟的设计 摘要: 设计一个周期为24小时,显示满刻度为23时59分59秒,具有校时功能和报时功能的电子钟。本系统的设计电路由时钟译码显示电路模块、脉冲逻辑电路模块、时钟脉冲模块、整电报时模块、校时模

块等部分组成。计数器采用异步双十进制计数器74LS90,发生器使用石英振荡器,分频器4060CD及双D触发器74LS74D,整电报时电路用门电路及扬声器构成。 一、设计的任务与要求 电子技术课程设计的主要任务是通过解决一,两个实际问题,巩固和加深在“模拟电子技术基础”和“数字电子技术基础”课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为以后从事生产和科研工作打下一定的基础。电子技术课程设计的主要内容包括理论设计、仿真实验、安装与调试及写出设计总结报告。衡量课程设计完成好坏的标准是:理论设计正确无误;产品工作稳定可靠,能达到所需要的性能指标。 本次课程设计的题目是“多功能数字电子钟电路设计”。要求学生运用数字电路,模拟电路等课程所学知识完成一个实际电子器件设计。 二、设计目的 1、让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统 的设计、安装、测试方法; 2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实 际问题的能力; 3、提高电路布局﹑布线及检查和排除故障的能力; 4、培养书写综合实验报告的能力。

三、原理方框图如下 1、图中晶体振荡电路由石英32.768KHZ及集成芯。 2、图中分频器4060BD芯片及D触发器构成分频器。 3、计数器由二——五——十73LS90芯片构成。 4、图中DCD_HEX显示器用七段数码显示器且本身带有译码器。 5、图中校时电路和报时电路用门电路构成。 四、单元电路的设计和元器件的选择 1、十进制计数电路的设计 74LS90集成芯片是二—五—十进制计数器,所以将INB与QA 相连;R0(1)、R0(2)、R9(1)、R9(2)接地(低电平);INA

数字钟的设计与制作过程

数字钟的设计与制作 一、设计指标 1. 显示时、分、秒。 2. 可以24 小时制或12 小时制。 3. 具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借 用电路中的时钟。 4. 具有正点报时功能,正点前10 秒开始,蜂鸣器 1 秒响 1 秒停地响 5 次。(选做) 5. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。 二、设计要求 1. 画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输 路径、方向和频率变化,并以文字对原理作辅助说明。 2. 设计各个功能模块的电路图,加上原理说明。 3. 选择合适的元器件,并选择合适的输入信号和输出方式,在面包板上接线验证、调试各个功能模块的电路。在确 保电路正确性的同时,输入信号和输出方式要便于电路的测试和故障排除。(也可选用Mutisim 仿真) 4. 在验证各个功能模块基础上,对整个电路的元器件和布线,进行合理布局,进行整个数字钟电路的接线调试。 三、制作要求自行在面包板上装配和调试电路,能根据原理、现象和测量的数据检查和发现问题,并加以解决。 四、设计报告要求 1. 格式要求(见附录 1 ) 2. 内容要求 ①设计指标。 ②画出设计的原理框图,并要求说明该框图的工作过程及每个模块的功能。 ③列出元器件清单,并画出管脚分配图和芯片引脚图。 ④画出各功能模块的电路图,加上原理说明(如 2 、 5 进制到10 进制转换,10 进制到 6 进制转换的原理,个 位到十位的进位信号选择和变换等)。 ⑥画出总布局接线图(集成块按实际布局位置画,关键的连接应单独画出,计数器到译码器的数据线、译码器到数 码管的数据线可以简化画法,但集成块的引脚须按实际位置画,并注明名称)。 ⑦数字钟的运行结果和使用说明。 ⑧设计总结:设计过程中遇到的问题及解决办法;设计过程中的心得体会;对课程设计的内容、方式等提出建议。 五、仪器与工具 1. 直流电源 1 台。 2. 四连面包板 1 块。 3. 数字示波器(每两人 1 台) 4. 万用表(每班 2 只)。

数字显示电子钟的PLC控制

石家庄铁道大学四方学院 集中实践报告书 课题名称 数字显示电子钟的PLC 控制 姓 名 *** 学 号 2012**** 系、 部 电气工程系 专业班级 方12**-* 指导教师 李** 2014年 12月 31日 ※※※※※※※※ ※ ※※ ※ ※ ※ ※ ※ ※ ※ ※ ※※※※※ 2012级 PLC 课程设计

目录 第1章设计目的 (1) 第2章设计要求 (1) 第3章PLC选型、I/O分配表和接线图 (2) 3.1PLC选型 (2) 3.2I/O分配表 (2) 3.3I/O接线图 (3) 第4章PLC程序设计 (3) 4.1梯形图设计 (3) 4.2指令语句表 (21) 第5章设计总结 (34) 参考文献 (34)

第1章 设计目的 在可编程序控制器问世之前,继电器接触器控制在各个领域中占有主要地位。继电器接触器控制系统是采用固定接线的硬件实现控制逻辑。接线量大,容易出错不容易检查,为了解决这一问题,美国通用公司提出用一种新型的控制装置取代继电器接触器控制装置。随着微处理器技术的的发展,可编程控制器的处理速度大大提高,增加了许多特殊功能,使得可编程控制器不仅可以实现逻辑控制,而且可以实现对模拟量进行控制。PLC 的可靠性高,抗干扰能力强,通用性强,使用方便,编程语言简单易学,便于掌握。电子时钟的任务是计时间,要求左边两个数码管显示0~23小时,右边两个数码管显示00~60分,中间两个发光二极管显示秒(即每秒闪烁一次)。由于PLC 的可靠性高,抗干扰能力强,通用性强,使用方便,编程语言简单易学,便于掌握,在各个方面改变适用性强,使得电子时钟计时器工作时可靠灵敏。 第2章 设计要求 由PLC 控制的大型数字电子钟如图49所示。电子钟由4个7段LED 发光管组成。左边两个数码管显示0~23小时,右边两个数码管显示00~60分,中间两个发光二极管显示秒(即每秒闪烁一次)。 开始状态时,显示为00:00,启动以后开始计时。 输入输出信号见下表和图,根据控制要求进行设计。 b c d e f a g

数字时钟设计与制作

数字时钟设计 学院:电气与电子工程学院 班级: 学号: 姓名:

数字时钟设计 一、设计目的 数字电子技术的迅速发展,使各种类型集成电路在数字系统、控制系统、信号处理等方面得到了广泛的应用。为了适应现代电子技术的迅速发展需要,能够较好的面向数字化和专用集成电路的新时代,数字电路综合设计与制作数字钟,可以让我们了解数字时钟的原理。在实验原理的指导下,培养了分析和设计电路的能力。并且学会检查和排除故障,提高分析处理实验结果的能力。 二、设计要求 1、掌握各芯片的逻辑功能及使用方法 2、数字时钟时的计时要求为24翻1,分和秒的计时要求为60进制 3、准确计时,以数字形式显示时、分、秒的时钟 4、写出设计、实验总结报告。 三、电路中主要元件及功能 1、芯片74LS290 74LS290的逻辑符号图如下: 74LS290的主要功能如下: 置“0”功能:当S9(1).S9(2)=0,且R0(1)=R0(2)=1时,计时器置“0“,即Q3 Q2 Q1 Q0=0000 置“9”功能:当S9(1)=S9(2)=1且R0(1).R0(2)=0时,计时器置“9”,即Q3 Q2 Q1 Q0=1001 计数功能:当S9(1).S9(2)=0,且R0(1).R0(2)=0时,输入计数脉冲

CP,计数器开始计数。计数脉冲由CP0输入,从Q0输出时,则构成一位二进制计数器;计数脉冲由CP1输入, Q3Q2Q1输出时,则构成异步五进制计数器;若将Q0和CP1相连,计数脉冲由CP0输入,输出为Q3Q2Q1Q0时,则构成8421BCD码异步十进制计数器;若将Q3和CP0相连,计数脉冲由CP1输入,从高位到低位输出为Q0Q1Q2Q3时,则构成5421BCD码异步十进制加法计数器。 2、芯片CD4511 CD4511的逻辑符号图如下: CD4511是一个用于驱动共阴极 LED(数码管)显示器的 BCD 码—七段码译码器,特点是:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流,可直接驱动LED显示器。 3、芯片CD4060 CD4060逻辑符号图如下: CD4060由一振荡器和14级二进制串行计数器位组成,振荡器的结构可以是RC 或晶振电路,CR为高电平时,计数器清零且振荡器使用无效。所有的计数器位均为

LED数字显示电子时钟源程序代码

LED数字显示电子时钟源程序代码 程序:(注已完全经过调试,达到预期目的) #include #define uchar unsigned char #define uint unsigned int uchar count=0; sbit LED=P1^0; uchar tab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; //显示数码管 0,1,3,4,5,6,7,8,9 uchar miao=0,fen=0,hour=0; void delay(uint i) //延迟函数 { uint j; for(;i>0;i--) for(j=124;j>0;j--); } void init() { ET0=1; TMOD=0x51; //选定定时器0,1和中断0,1 TH0=(65536-25000)/256; TL0=(65536-25000)%256; //设定时器0时间为250ms一次 ET1=1; TH1=0xff; TL1=0xff; //定时器1为中断 EX0=1; IT0=1; //中断0开 EX1=1; IT1=1; //中断1开 TR0=1; //定时器0开 TR1=1; //定时器1开 EA=1; //总中断开 } void display() //时钟显示函数 {

P0=tab[hour/10%10]; P2=0xfe; delay(1); P0=tab[hour%10]; P2=0xfd; delay(1); P0=tab[fen/10%10]; P2=0xfb; delay(1); P0=tab[fen%10]; P2=0xf7; delay(1); P0=tab[miao/10%10]; P2=0xef; delay(1); P0=tab[miao%10]; P2=0xdf; delay(1); } void main() //主函数 { init(); LED=0; while(1) { display(); } } void T0_int() interrupt 1 //定时器0函数{ TH0=(65536-25000)/256; TL0=(65536-25000)%256; count++; if(count==20) { count=0; miao= miao +1; LED=~LED; if(sec==60) { miao=0; fen= fen +1;

数字电子时钟逻辑电路设计

《数字逻辑》 课程设计报告 设计题目:数字电子钟 组员:黄土标黄维超蔡荣达孙清玉 指导老师:麦山 日期:2013/12/27 摘要数字电子钟是一种用数字显示秒、分、时的计时装置,本次数字时钟电路设计采用GAL系列芯片来分别实现时、分、秒的24进制和60进制的循环电路,并支 持手动清零和校正的功能。 关键词数字电子钟;计数器;GAL 4040芯片;M74LS125AF三态门 1设计任务及其工作原理 1.1设计任务 设计一台能显示时,分,秒的数字电子钟。 技术要求: (1)秒、分为00?59六十进制计数器

⑵时为00?23二十四进制计数器 (3)可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲输入调整或连续脉冲输入校正。并且可以手动按下脉冲进行清零。 1.2 工作原理 本数字电子钟的设计是根据时、分、秒各个部分的的功能的不同,分别用 GAL16V8D设计成六十进制计数器和用GAL22V10秒的个位,设计成十进制计数器,十位设计成六进制进制计数器(计数从00到59时清零并向前进位)。分部分的设计与秒部分的设计完全相同;时的个位,设计成二进制计数器,十位设计为四进制计数器,当时钟计数到23时59分59秒时,使计数器的小时部分清零,进而实现整体循环计时的功能。 2 电路的组成 2.1计数器部分:利用GAL16V8[和GAL22V1(芯片分别组成二十四进制计数器和六 十进制计数器,它们采用同步连接,利用外接标准脉冲信号进行计数。 2.2显示部分:将三片GAL芯片对应的引脚分别接到实验箱上的七段共阴数码显示管上,根据脉冲的个数显示时间。 3.3 分频器:由于实验箱上提供的时钟脉冲的时间间隔太小,所以使用GAL16V8D 和GAL16V8D 4040芯片和M74LS125AF三态门芯片设计一个分频器,使连续输出脉 冲信号时间间隔为0.5s

数字电子钟设计说明..

数字电子钟课程设计 一、设计任务与要求 (1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59; (2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电 路能实现校正 (5)整点报时 二、单元电路设计与参数计算 1. 振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有 了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 2. 分频器 由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。

3. 计数器 秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。“秒” “分”计数器为六十进制,小时为二十四进制。 (1)六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。 (2)二十四进制计数 “24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。与生 活中计数规律相同。二十四进制计数同样选用74LS161和74LS160计数芯片。但 清零方式采用的是异步清零方式。 MMgM 加 EHagij Z 1 进位信号 脉冲

哈工大-电子技术课程设计-数字显示电子钟

电子技术课程设计一评分:数字显示电子钟 班级:1308106 学号:1130810607 姓名:崔志鹏 日期:2015年6月9日

一、设计目的 1、掌握数字钟的结构,各部分的工作原理; 2、学会将各部分(单元)电路组成系统电路的方法; 3、掌握中规模集成电路和显示器件的使用方法; 4、了解简单数字系统的调试方法。 二、设计要求 1、LED数码管显示小时、分、秒; 2、可以快速校准小时、分,秒计时可以校零; 3、最大显示为23小时59分59秒; 4、绘制电气原理图; 5、给出各功能块的原理说明。 三、设计原理概述 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。 实验中的数字电子钟使用555集成芯片构成多谐振荡器产生计时脉冲信号,通过分频器(74LS90集成芯片)使脉冲信号达到标准的秒脉冲信号(即产生频率为1HZ的信号)。秒、分、时分别为60、60和24进制计数器。秒、分均为六十进制,即显示00--59,它们的个位为十进制,十位为六进制。分秒功能的实现是用两片74LS161组成60进制递增计数器。时为二十四进制计数器,显示为00--23,当十进位计到2,而个位计到4时清零,就为二十四进制。时功能的实现也是用两片74LS161组成24进制递增计数器。对计数信号采用74LS48集成芯片实现译码,使用6个共阴极七段数码管显示时、分、秒的计数。通过组合逻辑电路对时钟的“分”、“时”进行校时,为避免校时中机械开关产生的抖动,所以在校时电路中加入RS锁存器,开关每按压一次,输出信号改变一次。时钟电路框图如图一。

电子时钟课程设计_数电课程设计数字电子时钟的实现

电子时钟课程设计_数电课程设计数字电子 时钟的实现 课程设计报告设计题目:数字电子时钟的设计与实现班级: 学号: 姓名: 指导教师: 设计时间: 摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim 7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输 出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集 成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、 性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路目 录摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1设计任务 (4) 2.2设计要求 (4) 第3章系统设计 (6) 3.1方案论证 (6) 3.2系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1 单元电路工作原理 (8) 3.3.2 元件参数选择···································14 第 4章软件仿真 (15) 4.1仿真电路图 (15) 4.2仿真过程 (16)

基于51单片机数字电子时钟带程序完美实现

目录 摘要 (1) 前言 (2) 概论............................................................................................................. 错误!未定义书签。第一章.. (3) 1.1概述 (3) 1.2单片机的发展历程 (3) 1.3时钟的特性 (3) 2 系统原理与硬件设计 (4) 2.1硬件选择 (4) 2.2单片机的构成 (4) 2.3AT89C52单片机的引脚说明 (5) 2.4LED简介 (6) 第三章软件设计 (9) 3.1框架图 (9) 4 调试过程及数据分析 (22) 4.1硬件调试 (22) 4.2K EI L调试 (22) 4.3开发板调试 (23) 结论 (24)

摘要 本次设计采用AT89c52内部定时器、中断等功能,和外部数码管,驱动器等构成。电子时钟电路采用24小时制记时方式,时间用6位数码管动态显示。使用5V电源供电,并且在按键的作用下可以进入省电(不显示LED 数码管)和正常显示两种状态。 关键词:数码管、AT89c52 The design of the adjustable digital clock base on AT89S52 Abstract This paper introduced the design of the adjustable digital clock based on AT89S52, the specific process of how the system hardware and software achieved were detailed description through the design of adjustable digital clock. The modular design and production, which consisted of MCU module, clock module and the associated control module, were mainly recounted;As well as hardware designing,software design use the same method, consists suspension module,time adjust module, and that use the C language to achieve because of its simple and strong negotiability. In this design the functions of time run and change, functions of the year, month and day display have been achieved. Key words :AT89S52 microcontroller;

数字电子钟-完美版

《单片机技术》课程设计说明书 数字电子钟 院、部:电气与信息工程学院 学生姓名: 指导教师:王韧职称副教授 专业:自动化 班级: 完成时间:2013年01月05日

湖南工学院 课程设计任务书 课程:单片机技术 课程设计题目:数字电子钟 数字频率计 数字电压表 交通灯 抢答器 密码锁 波形发生器 数字温度计 计算器 数字式秒表 适用班级:自本1003~4、电子1001~2 电气本1001~2、通信本1001~2 时间: 2012~2013学年第一学期 指导教师:王韧

《单片机技术》课程设计任务书 一、设计题目:数字电子钟、数字频率计、数字电压表、交通灯、抢答器、密码锁、波形发 生器、数字温度计、计算器、数字式秒表。 二、适用班级:电气1001~3 三、指导教师:王韧 四、设计目的与任务: 学生通过理论设计和实物制作解决相应的实际问题,巩固和运用在《单片机技术》中所学的理论知识和实验技能,掌握单片机应用系统的一般设计方法,提高设计能力和实践动手能力,为以后从事电子电路设计、研发电子产品打下良好的基础。 五、设计内容与要求 设计内容 1、数字电子钟 设计一个具有特定功能的电子钟。该电子钟上电或按键复位后能自动显示系统提示符“P.”,进入时钟准备状态;第一次按电子钟启动/调整键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟启动/调整键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按启动/调整键再次进入时钟运行状态。 2、数字频率计 设计一个能够测量周期性矩形波信号的频率、周期、脉宽、占空比的频率计。该频率计上电或按键复位后能自动显示系统提示符“P.”,进入测量准备状态。按频率测量键则测量频率;按周期测量键则测量周期;按脉宽测量键则测量脉宽;按占空比测量键则测量占空比。 3、数字电压表 设计一个能够测量直流电压的数字电压表。测量电压范围0~5V,测量精度小数点后两位。该电压表上电或按键复位后能自动显示系统提示符“P.”,进入测量准备状态,按测量开始键则开始测量,并将测量值显示在显示器上,按测量结束键则自动返回“P.”状态。 4、交通灯 设计一个具有特定功能的十字路口交通灯。该交通灯上电或按键复位后能自动显示系统提示符“P.”,进入准备工作状态。按开始键则开始工作,按结束键则返回“P.”状态。要求甲车道和乙车道两条交叉道路上的车辆交替运行,甲车道为主车道,每次通车时间为60秒,乙车道为次车道,每次通车时间为30秒,要求黄灯亮3秒,并且1秒闪烁一次。有应急车辆出现时,红灯全亮,应急车辆通车时间10秒,同时禁止其他车辆通过。 5、抢答器 设计一个具有特定功能的抢答器。该抢答器上电或按键复位后能自动显示系统提示符“P.”,进入准备工作状态。主持人按下开始按钮后,抢答开始并限定时间30S;10S内无人抢答,蜂鸣器发出音响;主持人按下开始按钮之前有人按下抢答器,抢答违规,显示器显示违规台号,违规指示灯亮,其它按钮不起作用;正常抢答,显示器显示台号,蜂鸣器发出音响,其它抢答按钮无效;正常抢答下,从按下抢答按钮开始30S内,答完按钮没按下,则作超时处理,超时处理时,违规指示灯亮,显示器显示违规台号。蜂鸣器发出音响;各台数字显示的消除,蜂鸣器音响及违规指示灯的关断,都要通过主持人按复位按钮。 6、密码锁 设计一个具有特定功能的密码锁。该密码锁上电或按键复位后能自动显示系统提示符

用单片机制作可调数字时钟要汇编语言程序

用这个程序吧 C和汇编都有。 数字钟[★] 1.实验任务 (1.开机时,显示12:00:00的时间开始计时; (2. P0.0/AD0控制“秒”的调整,每按一次加1秒; (3. P0.1/AD1控制“分”的调整,每按一次加1分; (4. P0.2/AD2控制“时”的调整,每按一次加1个小时; 2.电路原理图 图4.20.1 3.系统板上硬件连线 (1.把“单片机系统”区域中的P1.0-P1.7端口用8芯排线连接到“动态数码显示”区域中的A-H端口上; (2.把“单片机系统:区域中的P3.0-P3.7端口用8芯排线连接到“动态数码显示”区域中的S1-S8端口上; (3.把“单片机系统”区域中的P0.0/AD0、P0.1/AD1、P0.2/AD2端口分别用导线连接到“独立式键盘”区域中的SP3、SP2、SP1端口上; 4.相关基本知识 (1.动态数码显示的方法 (2.独立式按键识别过程 (3.“时”,“分”,“秒”数据送出显示处理方法 5.程序框图 6.汇编源程序 SECOND EQU 30H MINITE EQU 31H HOUR EQU 32H HOURK BIT P0.0 MINITEK BIT P0.1 SECONDK BIT P0.2 DISPBUF EQU 40H DISPBIT EQU 48H T2SCNTA EQU 49H T2SCNTB EQU 4AH TEMP EQU 4BH ORG 00H LJMP START ORG 0BH LJMP INT_T0 START: MOV SECOND,#00H MOV MINITE,#00H MOV HOUR,#12 MOV DISPBIT,#00H MOV T2SCNTA,#00H MOV T2SCNTB,#00H

数字时钟设计与制作

数字时钟设计 学院:电气与电子工程学院 班级:_________________________________ 学号:_________________________________ 姓名:_________________________________

数字时钟设计 一、 设计目的 数字电子技术的迅速发展,使各种类型集成电路在数字系统、控制系统、 信号处理等方面得到了广泛的应用。为了适应现代电子技术的迅速发展需要, 能够较好的面向数字化和专用集成电路的新时代数字电路综合设计与制作数 字钟,可以让我们了解数字时钟的原理。在实验原理的指导下,培养了分析和 设计电路的能力。并且学会检查和排 除故障,提高分析处理实验结果的能力。 二、 设计要求 1掌握各芯片的逻辑功能及使用方法 2、 数字时钟时的计时要求为24翻1分和秒的计时要求为60进制 3、 准确计时,以数字形式显示时、分、秒的时钟 4、 写出设计、实验总结报告。 三、 电路中主要元件及功能 1 芯片 74LS290 74LS29啲逻辑符号图如下: .I I 丨 I >CLK2 7 44-5290 IS1 ?S2 IRI 74LS29啲主要功能如下: 置“0”功能:当S9(1).S9(2)=0,且R0⑴=R0(2)=1时,计时器置 “0 “,即 Q3 Q2 Q1 Q0=0000 置“ 9” 功能:当 S9(1)二S9(2) =1 且 R0(1).R0(2)=0 时,计时器置 CFO CF1°

“9”,即Q3 Q2 Q1 Q0=1001 计数功能:当S9(1) .S9(2)=0,且R0(1).R0(2)=0时,输入计数脉冲

数字电子钟

电子制作实训报告 题目:数字电子钟 班级:09电信 姓名:苏欣欣 指导教师:赵欣 湖北轻工职业技术学院 完成日期:2011年4月16日

目录 第一章概述 3 第二章数字电子钟的电路原理 4 第三章电路调试与制作12 第四章总结与体会12 第五章附录13

第一章概述 数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 虽然市场上已有现成的数字集成电路芯片出售,价格便宜,使用方便,这里所制作的数字电子可以随意设置时,分的输出,是数字电子中具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。 设计目的 (1)加强对电子制作的认识,充分掌握和理解设计个部分的工作原理、设计过程、选择芯片器件、电路的焊接与调试等多项知识。 (2)把理论知识与实践相结合,充分发挥个人与团队协作能力,并在实践中锻炼。 (3)提高利用已学知识分析和解决问题的能力。 (4)提高实践动手能力。

第二章数字电子钟的电路原理 数字电子钟的设计与制作主要包括:数码显示电路、计数器与校时电路、时基电路和闹铃报时电路四个部分。 1.数码显示电路 译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。显示器件选用FTTL-655SB双阴极显示屏组。在计数电路输出信号的驱动下,显示出清晰的数字符号。 2.计数器电路 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。 3.校时电路 数字钟电路由于秒信号的精确性和稳定性不可能做到完全准确无误,时基电路的误差会累积;又因外部环境对电路的影响,设计产品会产生走时误差的现象。所以,电路中就应该有校准时间功能的电路。通过手动调节按键,达到校准的目的。 4.定时报警电路 当调好定时间后并按下开关K1(白色键),显示屏右下方有红点指示,到定时时间有驱动信号经R3使VT1工作,即可定时报警输出。

基于单片机的数字时钟加闹钟的设计与制作

题目基于单片机的数字时钟加闹钟的设计与制作 1绪论 (2) 1.1引言 (2) 1.2研究目的 (2) 1.3系统基本方案选择和论证 (2) 1.3.1单片机芯片的选择方案和论证: (2) 1.3.2显示模块选择方案和论证: (2) 1.3.3时钟芯片的选择方案和论证: (2) 1.3.4电路设计最终方案确定 (3) 1.4硬件系统框图 (3) 2 主要元件介绍 (4) 2.1STC89C52以及最小系统介绍 (4) 2.1.1 ST89C52单片机: (4) 2.1.2 计时芯片DS1302: (5) 2.1.3字符液晶显示屏LCD1602资料: (6) 2.1.4 电源模块: (8) 3. 硬件电路连接图: (8) 3.1单片机的连接图 (8) 3.2DS1302计时模块 (9) 3.3LCD1602液晶显示模块 (9) 3.4按键模块 (9) 4. 系统的软件设计: (10) 4.1软件设计流程图: (10) 5. 系统的调试: (11) 6. 总结: (12) 参考文献 (13) 附录一: (14) 附录二: (15) 附录三: (29)

嵌入式综合课程设计III (基于单片机的数字时钟加闹钟的设计与制作) 任务提出: 随着单片机技术的飞速发展,在其推动下,现代的电子产品几乎渗透到了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能 进一步提高。 时间就是金钱、时间就是生命、时间就是胜利……,准确的掌握时间和分配时间对人们来说至关重要,时钟是我们生活中必不可少的工具。电子钟的设计方法有很多种,但是基于单片机并通过LCD显示的电子时钟具有编程灵活、精确度高、便于携带、显示直观等特点。利用STC89C52单片机对DS1302时钟芯片进行读写操作并通过LCD1602字符液晶显示实时时钟信息,这样便构成了一个单片机电子时钟。 设计要求: 利用单片机作为核心控制器件,制作一个数字时钟。要求其具有如下功能: ①具有年、月、日、星期、时、分、秒显示功能; ②具备年、月、日、星期、时、分、秒校准功能; ③具有闹钟显示、调节设定、鸣叫功能; ④计时器模块能够准确计时; ⑤显示模块能够清晰、稳定显示,不出现乱码; ⑥输入模块能够正确输入指令; ⑦控制模块能够正确控制输入、输出显示; ⑧整个系统能够正常、稳定工作。

数字电子时钟电路设计系统 智能钟表plc

物理机电学院课程设计报告 课程名称:单片机课程设计 系部:电子与电气工程系 专业班级: 学生姓名: 指导教师: 完成时间:2009年5月22日 报告成绩:

摘要:数字电子时钟电路设计系统,以AT89C51单片机为控制核心,由键盘显示、定时闹铃、LED共阴极数码管和LED灯显示等功能模块组成。基于 题目基本要求,本系统对时间显示和定时报警进行了重点设计。本系统大 部分功能由软件来实现,吸收了硬件软件化的思想,大部分功能通过软件 来实现,使电路简单明了,系统稳定性大大提高。本系统不仅成功的实现 了要求的基本功能,而且有一定的创新功能。 关键字:单片机AT89C51 共阴极LED数码显示器 Abstract This digital electronic clock circuit design system ,based on chip microcomputer AT89C51,is composed by the following functional modules : keyboard displaying , timing alarmg. common cathode LED digital tube,LED lights display,and so on. According to the basic requirements of the subject ,the system stresses on the realization of Time display and regularly report to the police. The design achieved all the required basic technical indexes. Furthermore , adopting the idea of hardware-to-software, most of those functions are realized by softwares, which makes the electrocircuit more concise and the system more stable. Key words:chip microcomputer AT89C51 Common cathode LED digital display

数字时钟设计方案要点

数字时钟方案设计

目录 摘要 (3) 一、设计目的 (3) 二、设计要求 (3) 三、选择器件 (3) 四、器件介绍 (4) 五、设计的具体实现 (8) 六、实验仿真 (12) 七、心得体会 (19) 八、参考文献 (20)

摘要 数字时钟最主要的部件是计时,显示具体的时间。数字时钟主要是时、分、秒的显示,众所周知,一天有二十四小时,一小时有六十分钟,一分钟有六十秒,因此数字时钟的核心部件就是计数器,主要的是二十四进制和六十进制的计数器。计数器有很多种类,74160是一种四位二进制计数器,通过它可以设计出不同进制的计时器,可以用来像数字时钟一样显示时、分、秒。将74160计数器的输出端经过译码器接到七段数码管上,就可以完成时,分,秒的显示。将74160计数器,译码器和七段数码管封装在一起,输入1Hz的外输入脉冲信号,就可实现数字时钟的整体设计。 关键字:74160计数器7448译码器七段数码管数字时钟 一、设计目的 1、掌握不同进制计数器的设计方法,学会运用集成芯片来达到不同进制计数器的设计; 2、掌握数码管的使用方法以及如何通过译码器将计数器输出的信号值正确地在数码管上显示出来。 二、设计要求 1、用74160设计一个数字钟电路,使之能够从0时0分0秒到23时59分59 秒循环计时;另外最好能够通过数码管将时分秒显示出来。假定已有频率为1Hz 的外输入脉冲。 2、提示:显示部分可通过7448和7段数码管实现。 3、利用QUARTUSⅡ等软件进行时钟方案设计,并进行仿真。 三、选择器件 1、74160计数器6个 2、7448译码器6个

3、7段数码显示管6个 4、与门4个 5、与非门3个 6、1Hz的外输入脉冲信号设计方案要求提供 7、+5V直流稳压电源1个 8、导线若干 四、器件介绍 1、74160计数器 74160计数器是一种十进制同步计数器(异步清除)。查阅74160计数器数据手册,则有: (1)管脚图: 引出端符号: TC 进位输出端 CEP 计数控制端 Q0-Q3 输出端 CET 计数控制端 CP 时钟输入端(上升沿有效) /MR 异步清除输入端(低电平有效) /PE 同步并行置入控制端(低电平有效) ※说明:P0,P1,P2,P3是数据输入端; Q0,Q1,Q2,Q3是数据输出端;PE 是低电平有效,为同步并行置入控制端,在构造不同进制的计数器时,可以通

数字电子时钟

吉林建筑大学 电气与计算机学院 数字电子技术课程设计报告 设计题目:数字电子时钟(仿真)专业班级:自动化151 学生姓名:赵鑫国 学号:201512912 指导教师:韦大川 设计时间:2017.06.12-2017.07.06

目录 第1章绪论 (1) 1.1 (1) 1.2 (2) 第2章设计任务和要求 (3) 2.1 (3) 2.2 (3) 2.3 (3) 2.4 (4) 第3章电路设计的计算与分析 (5) 3.1 (5) 3.2 (6) 3.3 (9) 3.4 (10) 3.5 (11) 3.6 (12) 第4章方案的制作与安装 (15) 4.1 (15) 4.2 (15) 4.3 (16) 第5章电路的仿真与调试 (18) 总结 (19) 参考文献 (21) 元件清单 (22)

第1章绪论 1.1多功能电子时钟简介 多功能数字电子钟实际上是一个标准频钟表作为一种定时工具被广泛的使用在生产生活的各方面。人类最初依靠太阳的角度来进行定时,所以受天气的影响比较大,为了克服依靠自然现象定时的缺点人们发明的机器钟表,电子钟表一系列的定时工具。而电子钟表具有价格便宜,质量轻,定时误差小等优点,被广泛的应用在生产,生活的各个方面。由于电子钟的能提供精确又被广泛的运用在测量之中。此数字电子钟采用555定时器提供定时脉冲,74160,74290集成块作为计时模块,8段数码显示管作为显示工具。其设计的产品可以广泛的用于公共场所,匾额装饰,以及教学等方面。 率计数的计数电路,它的计时周期为二十四小时。数字电子钟主要由时钟信号源、秒计数器、分计数器、时计数器、译码显示管组成。其中电路系统由时钟信号源,时、分、秒计数器,译码器及显示器电路组成。秒信号产生器是整个系统的时基信号,一般多用555定时器来实现,将标准时基信号送入秒计数器,秒计数器采用六十进制计数器,每累计六十秒发出一个“分脉冲”信号,该信号将作为分计数器的计数脉冲,分计数器同样采用六十进制计数器,每累计六十分钟,发出一个时脉冲信号,而该信号将被送到式计数器,时计数器采用十二进制计数器,可以实现对一天二十四小时的计时。译码显示电路将时、分、秒的计数器输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED七段显示器显示出来。 1.2发展前景 随着人类社会步入高度发达的信息化时代,电子信息类产品日益广泛地应用于各个领域。市场需求的变化使产品更新换代越来越快,能否尽快开发出适应市场需求的产品已成为企业生存发展的关键。定时器在实际工作中用到的场合很多,它成为今天工业控制领域、通讯设备、信息处理以及日常生活中最广泛使用

相关文档
最新文档