EDA期末试卷

EDA期末试卷
EDA期末试卷

《EDA》期末试卷

一、选择题(每小题3分,共30分)

1、在下图中,F0的逻辑关系为:

A.A0⊕A1 B.A0⊙A1 C.A0·A1 D.A0·A1

2、在VHDL中,用语句()表示clock的下降沿。

A.clock='1'

B.clock'EVENT AND clock='1'

C.clock='0'

D.clock'EVENT AND clock='0'

3、如果p1=’1’,p2=’1’,则执行z <= a WHEN p1 = '1' ELSE b WHEN p2 = '1' ELSE c ;之后z 的值为:

A.a

B.b

C.c

D.不确定

4、进程中的信号赋值语句,其信号更新是:

A.按顺序完成 B.比变量更快完成 C.在进程最后完成 D.都不对

5、不完整的IF语句,其综合结果可实现:

A.时序逻辑电路

B.组合逻辑电路

C.双向电路

D.三态控制电路

6、reg的数据类型为std_logic_vector(7 downto 0),初值为FF,执行reg(0)<=’0’;reg(7 downto 1)<=reg(6 downto 0);之后,reg的值为:

A.FF B.FE C.FC D.FD

7、在VHDL中()不能将信息带出对它定义的当前进程。

A. 信号

B. 常量

C. 数据

D. 变量

8、执行下列语句后Q的值等于:

……

SIGNAL E: STD_LOGIC_VECTOR (2 TO 5);

SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2);

……

E<=(2=>’1’, 4=>’1’, OTHERS=>’0’);

Q<=(2=>E (2), 4=>E (3), 5=>’1’, 7=>E (5), OTHERS=>E (4));

……

A.”11011011”

B.”00110100”

C.”11011001”

D.”00101100”

9、在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。

A.idata <= “00001111”;

B.idata <= b”0000_1111”;

C.idata <= X”AB”;

D.idata <= B”21”;

10、在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的。

A.idata := 32;

B.idata <= 16#A0#;

C.idata <= 16#7#E1;

D.idata := B#1010#;

二、分析题(每题8分,共40分)

1、下图为1位全减器的电路图,其中h_suber为半减器。减法运算为x-y-sub_in=diffr,sub_in为低位借位,sub_out为结果借位。给出半减器和全减器的真值表。

2、利用一位全减器设计出四位全减器的电路图,简要说明工作原理。

3、分析下图,用VHDL语言实现该电路图的功能。

4、分析下面的分频器程序,回答下面的问题:

FOUT与CLK的频率之比、FULL与CLK的频率之比、FULL与CNT2的频率之比和CNT2与FOUT的频率之比,说明原因。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY DVF IS

PORT ( CLK : IN STD_LOGIC;

D : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

FOUT : OUT STD_LOGIC );

END;

ARCHITECTURE one OF DVF IS

SIGNAL FULL : STD_LOGIC;

BEGIN

P_REG: PROCESS(CLK)

VARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

IF CLK'EVENT AND CLK = '1' THEN

IF CNT8 = "11111111" THEN

CNT8 := D;

FULL <= '1';

ELSE CNT8 := CNT8 + 1;

FULL <= '0';

END IF;

END IF;

END PROCESS P_REG ;

P_DIV: PROCESS(FULL)

VARIABLE CNT2 : STD_LOGIC;

BEGIN

IF FULL'EVENT AND FULL = '1' THEN

CNT2 := NOT CNT2;

IF CNT2 = '1' THEN FOUT <= '1'; ELSE FOUT <= '0';

END IF;

END IF;

END PROCESS P_DIV ;

END;

5、分析下段程序,说明代码表达的是什么类型的状态机,详述其功能和逻辑过程,并画出它的状态图。

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY xulie IS

PORT(DIN,CLK,CLR : IN STD_LOGIC;

AB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END xulie;

ARCHITECTURE behav OF xulie IS

TYPE states IS (S0,S1,S2,S3,S4,S5,S6,S7,S8);

SIGNAL Q : states ;

SIGNAL D : STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

D <= "11100101" ;

PROCESS( CLK, CLR )

BEGIN

IF CLR = '1' THEN Q <= S0 ;

ELSIF CLK'EVENT AND CLK='1' THEN

CASE Q IS

WHEN S0=> IF DIN = D(7) THEN Q <= S1 ; ELSE Q <= S0 ; END IF ;

WHEN S1=> IF DIN = D(6) THEN Q <= S2 ; ELSE Q <= S0 ; END IF ;

WHEN S2=> IF DIN = D(5) THEN Q <= S3 ; ELSE Q <= S0 ; END IF ;

WHEN S3=> IF DIN = D(4) THEN Q <= S4 ; ELSE Q <= S0 ; END IF ;

WHEN S4=> IF DIN = D(3) THEN Q <= S5 ; ELSE Q <= S0 ; END IF ;

WHEN S5=> IF DIN = D(2) THEN Q <= S6 ; ELSE Q <= S0 ; END IF ;

WHEN S6=> IF DIN = D(1) THEN Q <= S7 ; ELSE Q <= S0 ; END IF ;

WHEN S7=> IF DIN = D(0) THEN Q <= S8 ; ELSE Q <= S0 ; END IF ;

WHEN OTHERS => Q <= S0 ;

END CASE ;

END IF ;

END PROCESS ;

PROCESS( Q )

BEGIN

IF Q = S8 THEN AB <= "1010" ;

ELSE AB <= "1011" ;

END IF ;

END PROCESS ;

END behav ;

三、设计题(每题10分,共30分)

1、用VHDL语言设计一个带有异步复位和同步时钟使能的25进制加法计数器(从0计数到24)。

2、用VHDL语言设计一个10分频电路,要求高低电平时间宽度之比为2:3。

3、用VHDL语言实现下图的逻辑关系,其中CLK为输入信号,LOCK、CLR为输出信号。(提示:实现一个4位二进制加法计数器:从0计数到15,当计数器计数到某一个值时,LOCK变为高电平,其余时刻都为低电平,同理CLR)

龙岩学院 2013~2014第一学期课程表最新

龙岩学院数学与计算机科学学院2013~2014学年第一学期课程表(五)A 备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、11计算机师、11计算机非师第15周停课一周进行网络管理与设计实践;11软工第15周停课一周进行网络与通信课程实践。 教务处二○一三年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房. 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、12计算机专升本第15周停课一周进行WEB应用开发课程设计;12计算机第16周停课一周进行数据结构课程设计;12软工1、2班第16周停课一周进行面向对 象课程设计。 教务处数计院 二○一三年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房. 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、13计算机第16周停课一周进行程序设计基础课程设计;13计算机专升本第16周停课一周进行数据库系统原理课程设计 教务处数计院 二○一三年九月

广工数字逻辑实验八

__计算机__学院__软件工程__专业__班__组、学号__ 姓名_______协作者______________教师评定_________________ 实验题目__第八次实验——基于Libero的数字逻辑设计仿真及验证实验__ 1、熟悉SmartDesign工具的使用 2、综合实验的设计、仿真、程序烧录及验证

实验报告 一、实验目的 1、了解基于Verilog的组合逻辑电路的设计及其验证。 2、熟悉利用EDA工具(特别是SmartDesign)进行设计及仿真的流程。 3、学习利用SmartDesign对全加器进行VerilogHDL设计的方法。 4、熟悉实验箱的使用和程序下载(烧录)及测试的方法。 二、实验环境 1、Libero仿真软件。 2、DIGILOGIC-2011数字逻辑及系统实验箱。 3、Actel Proasic3 A3P030 FPGA核心板及Flash Pro4烧录器。 三、实验内容 1、跑马灯设计 设计要求: 共8个LED灯连成一排,用以下3种模式来显示,模式选择使用两个按键进行控制。 (1)模式1:先点亮奇数灯,即1、3、5、7灯亮,然后偶数灯,即2、4、6、8灯亮,依次循环,灯亮的时间按时钟信号的二分频设计。 (2)模式2:按照1、2、3、4、5、6、7、8的顺序依次点亮所有灯;然后再按1、2、3、4、5、6、7、8的顺序依次熄灭所有灯,间隔时间按时钟信号的八分频设计。 (3)模式3:按照1/8、2/7、3/6、4/5的顺序依次点亮所有灯,每次同时点亮两个灯;然后再按1/8、2/7、3/6、4/5的顺序熄灭相应灯,每次同时熄灭两个灯,灯亮的时间按时钟信号的四分频设计。 (4)模式4:自定义。 2、四位数码管扫描显示电路的设计 设计要求: 共4个数码管,连成一排,要求可以显示其中任意一个数码管。具体要求如下:(1)依次选通4个数码管,并让每个数码管显示相应的值,其结果由相应输入决定。 (2)要求能在实验箱上演示出数码管的动态显示过程。必须使得4个选通信号DIG1、DIG2、DIG3、DIG4轮流被单独选通,同时,在段信号输入口加上本人学号的后四位数据,这样随着选通信号的变化,才能实现扫描显示的目的(经验数据为扫描频率大于等于50Hz)。

龙岩学院学生素质综合测评细则(

龙岩学院学生素质综合测评细则 一、思想品德素质测评(15分) 评分方式:自评(10%),十人小组评分(20%)导师评分(70%) 评分细则:十人评分小组(由班长,团支书,学习委员,以及个宿舍宿舍长组成)给每位同学评分,去掉一个最高分、去掉一个最低分,取余下分数的 平均分就是该同学该栏目的得分。(各班级可以另外再找三个可靠且 诚实正直的同学担任工作人员,十人评分小组只要负责对该同学进行 全盘思索以期给予最公正、客观的分数就可以了。) 评分要求:1、对各位同学的“政治素质”、“品德修养”、“学习态度”、“法纪观念”及“劳动实践”五个部分进行综合评分,详细参照学生手册53 页;(好20-19分、一般19-17分、较差17-14分、差14-10分) 2、受到警告以上处分的同学,十人评分小组成员给其打的分数应在 “差(10分以下)”。 3、一学期累计扣分达6分以上的同学,思想品德素质测评应为差; 二、体育素质测评(10分) 。评分要求:1、一般同学起评分为8分;(体育成绩优秀6分,良5.5分,中5分,及格4.5分,不及格4分。竞赛分4分。) 注:班级成员有无参加体育竞赛及获奖情况请体育委员统计。 三、能力素质测评(15分) (1)社会工作能力素质分(5分)(起评分2.5分) 评分要求: 1、积极主动地协助教师、学生干部开展工作或协助组织集体活动的一般同学,可根据工作表现、工作能力、工作效果,酌情评定社会工作能力分0--2分。(由班长和辅导员打分) 2、对于班、院、校干的打分,做两个或多个职务的同学可以累积加分,(即最高职务分+另一职务的1/2)但全部分数加起来超过5分的以5分计。具体如下:(校、院一致) 学生会主席、团委办公室主任、团委副书记加2.5分 副主席、主席助理、办公室副主任、各部部长、青年志愿者协会会长、贴心服务社社长加2分 各部副部长加1.5分 全体普通干事加1分 (班级) 班长、团支书、学习委员加2.5分 组宣科委员、生劳委员、体育委员、心理保健员、文艺委员、舍长加 1分

2003年广工研究生入学物理化学试题

广东工业大学 2003年研究生入学物理化学试题 考试科目:物理化学科目编号:423 招生专业:应用化学、环境工程 注:考生必须在答题纸上答题(含填充题、选择题),答完后连同本试题一并交回。 一、单项选择题(30分) 1、一定量的理想气体由同一始态出发,分别经恒温可逆膨胀和绝热可逆膨胀到相同的终态压力为P时,终态体积有()。 A V恒温=V绝热; B V恒温V绝热; D 无法判定。 2、对于焓H的描述下列哪点是不确切的()。 A 焓H是状态函数; B 在无非体积功的封闭体系内的恒压过程?H=Q P; C 焓的改变值?H的符号不能作为过程自发方向的判据; D 在U、H、A、G几个函数中H的绝对值最大。 3、下列哪一个过程不能用?G作为过程自发方向和达平衡条件的判据()。 A 纯物质单纯P、V、T变化; B 两种和两种以上的物质恒温恒压混合; C 恒温恒压非平衡条件下的相变化过程;D恒温恒压下不作电功的化学变化过程。 4、恒压下纯气体物质的吉布斯函数G随温度的升高而()。 A 增加; B 降低; C 不变; D 不能判定。 5、对于均相封闭系统(?G/?P)T 等于( ). A (?G/?T)P ; B (?U/?S)V; C (?A/?V)T; D (?H/?P)S。 6、乙醇比水易挥发,将少量乙醇溶于水形成稀溶液,下列说法中何者是正确的()。 A 溶液的蒸汽压必低于同温下水的饱和蒸汽压; B 溶液的沸点比高于相同压力下水的沸点; C 溶液的凝固点必低于相同压力下水的凝固点; D 平衡气相中乙醇的摩尔分数小于液相中乙醇的摩尔分数。 7、将不挥发性溶质甲、乙分别溶于水形成稀溶液,若甲的水溶液的凝固点低于乙的水溶液的凝固点,则甲的水溶液的沸点和乙的水溶液的沸点有()。 A 乙的高; B 甲的高; C 一样高; D 无法比较。 8、理想气体反应;N2O4(g)=2NO2(g)在某温度达平衡后,在恒压下向系统加入惰性气体,平衡转化率()。 A提高;B 降低;C 不变;D无法确定。 9、在10ml、1mol·L-1的KOH溶液中加入1ml水,其电导率к如何变化( )。 A增大;B 减小;C 不变 D 无法判定。 10 某电池的电动势随温度升高而降低,则电池放电时的焓变的符号为()。 A ?r H m >0; B ?r H m <0; C ?r H m =0; D 无法判定。 11、电解金属盐的水溶液时在阴极上()。 A 平衡还原电势与超电势之和越正的金属越易析出;B平衡还原电势越正的金属越易析出;C平衡还原电势与超电势之和越负的金属越易析出;D平衡还原电势越负的金属越易析出。 12、对于反应2A→C+D,反应物浓度降为初始浓度一半需时间20min,降为1/4需时间60min,该反应为()。 A 零级反应; B 一级反应; C 二级反应; D 三级反应。

《龙岩学院专业技术职务聘期考核管理办法(试行)》

岩学院人〔2014〕59号 关于印发《龙岩学院教师等专业技术职务聘期考核管理办法(试行)》的通知 各单位: 现将《龙岩学院教师等专业技术职务聘期考核管理办法(试行)》印发给你们,请遵照执行。 附件:1.龙岩学院高校教师类专业技术职务聘期考核基本要求 2.龙岩学院实验技术类专业技术职务聘期考核基本要求 3.龙岩学院图书资料、档案、出版专业类和公共服务类 专业技术职务聘期考核基本要求 龙岩学院 2014年12月23日

龙岩学院教师等专业技术职务聘期 考核管理办法(试行) 根据《福建省人民政府关于进一步支持高校加快发展的若干意见》(闽政〔2012〕47号)、《福建省高校教师等专业技术职务聘任制实施办法(试行)》(闽人〔2012〕206号)和《龙岩学院教师等专业技术职务聘任制实施方案(试行)》等文件精神,结合我校实际,制定本办法。 一、指导思想与基本原则 (一)指导思想 以邓小平理论、“三个代表”重要思想和科学发展观为指导,进一步深化我校人事制度改革,实行教师等专业技术职务聘任考核管理制度,形成职务能上能下、待遇能高能低,有利于优秀人才脱颖而出,人尽其才、充满活力的用人机制。 (二)基本原则 教师等专业技术职务聘期考核管理应遵循如下原则: 1.在公平、公正、公开的环境下进行的原则; 2.有利于完成办学任务和提高教学质量、提升科研和服务社会水平的原则; 3.根据岗位制定不同类别专业技术职务和岗位的考核要求的原则; 4.肯定教师的历史贡献,对具有高级专业技术职务接近退休的教师给予有条件保障的原则; 5.严格按照工作任务要求进行考核管理的原则。 二、实施范围与对象 1.聘任在教师等专业技术职务的人员; 2.具有由省级主管部门组织评审的专业技术职务资格但未

广东工业大学导师信息

姓名招 生 人 数 性 别 出生 年月 职称 学 位 最高学历毕业 院校、时间 主要研究方向(限填3 个) email电话 王 成勇3男教授 博 士 大连理工大 学,1989 模具高速加工及 CAD/CAM,精密超精密 加工理论、设备与工 具,超硬材料及纳米 材料工具 阎 秋生4男教授 博 士 天津大学、 磨削加工工艺、微细加 工、先进加工装备 郭 钟宁3男教授 博 士 香港理工大学 特种加工、微细加工、 加工过程检控 魏昕3女教授 博 士 华南理工大学 微电子材料精密超精 密精密加工技术,加工 过程监测技术,高能束 加工技术 袁慧1女 副教 授 大 学 吉林工业大 学,1977 难加工材料精密加工 与工具 马平2男教授 博 士 南京航天航空 大学/ 高速机床研究\数控技 术\智能监测与控制技 术研究 pingma@gdut 傅 惠南3男教授 博 士 日本神户大学 /1999 微纳米操作加工\微纳 米检测控制\超精密研 磨 张 永俊2男1966教授 博 士 南京航天航空 大学/94 特种加工技术\机器人 运动\动力学研究 (郭钟宁教授负 责) 姜 莉莉3女教授 博 士 莫斯科工业大 学,1998 制造过程信息化, CAD/CAM/PDM. 李 锻能2男 副教 授 学 士 1982年湖南大 学本科 机械制造装备、高速加 工、滑动轴承 高 伟强2男 副教 授 博 士 “Stankin” 莫斯科国立工 业大学 磁性研磨,先进制造装 备设计,CAD/CAPP/CAM 于1男副教学1982年北京精密加工、特种加工、

兆勤授士理工大学数控加工技术 (CAD/CAM) 肖 曙红2男 副教 授 博 士 华南理工大 学, 高速数控机床,直接驱 动控制,精密机械与数 字化设计 张 凤林1男 副教 授 博 士 华南理工大 学, 超硬材料工具制造 徐 晓东1男 研究 员 博 士 北京科技大 学,2000 射流加工理论与工艺 (王成勇教授负 责) 林 一松1 (王成勇教授负 责) 本帖最后由广工机 电于201 1-3-20 16: 55 编辑 姓名招 生 人 数 性 别 出生 年月 职称 学 位 最高学历毕业 院校、时间 主要研究方向(限填3 个) email电话 陈新4男教授 博 士 华中理工大学, CIMS与网络化制造,微 电子装备制造 郑 德涛1男教授 博 士 清华大学, CIMS与网络化制造,微 电子装备制造 吴 百海4男教授 学 士 中南建筑学院, 1964 机电液智能控制,海洋 机电工程

龙岩学院关于修订各专业培养方案的指导意见

龙岩学院关于修订各专业培养方案的指导意见培养方案是高等教育人才培养模式的具体化,是人才培养的重要蓝图,是课程体系改革的体现,是培养学生素质和提升学生专业水平的框架,是保证教学质量的基础,是组织教学过程、安排教学任务、规定学习任务的根本依据,是学校教学管理和教学运行的重要文本。为更好地适应高等教育的改革与发展,根据教育部《关于普通高校修订本科专业培养方案的原则意见》和福建省教育厅《福建省高等学校教学常规管理规范》的精神,结合我校的具体情况,现按学分制教学管理的要求,对我校各专业培养方案的修订工作提出以下意见: 一、指导思想 专业培养方案的修订工作要以邓小平理论和“三个代表”的重要思想、科学发展观为指导,全面体现“教育要面向现代化、面向世界、面向未来”的时代精神,坚定不移地贯彻落实党的教育方针,遵循高等教育、教育工作的基本规律,以21世纪高等教育发展为先导,积极地吸收高等教育在教育思想与教育观念、教学内容与课程体系、教学方法与教学手段等方面取得的成果,以改革和创新的精神,构建适应社会人才多元化和学生发展多元化需要的人才培养体系,培养德、智、体、美全面发展的专门人才。师范类专业毕业的学生还必须具有现代教育理念,懂得教育学、心理学基本知识,掌握现代教育技术,适应基础教育改革和发展的需要。 二、基本原则 (一)培养方案的修订要坚持知识、能力、素质协调发展和综合提高的原则,使学生在德、智、体、美等方面得到更好的全面发展,重点是要为学生构设一个合理的知识、能力、素质结构。为此,第一、在知识、能力、素质的关系上,要强调加强学生的全面素质培养,要在重视知识传授的基础上,大力加强学生获取知识、提出问题、分析问题和解决问题的能力培养,要将知识、能力内化为素质;第二、在基础教学与专业教学的关系上,要强调拓宽基础教学的内涵,改变教育内容偏窄、偏专的倾向,要加强包括自然科学基础和人文科学基础在内的基础知识、基本理论、基本技能的教学和基本素质的培养,采取多种形式加强文化素质教育,使学生通过学习能够构建起可适应终身教育及社会发展变化需要的知识、能力结构和基本素质;第三、要加强和改进培养学生创造性思维的教学环节,把培养学生创新思维能力融合于教学的全过程之中。 (二)培养方案的修订要充分体现整体优化的原则,科学地处理好各教学环节的关系。首先,要进行课程整合,根据培养目标构建融会贯通、紧密配合、有机联系的课程体系,改变内容陈旧、分割过细和简单拼凑的状况,避免脱节和不必要的重复,防止“因人设课”和“因无人而不设课”的情况出现,加强同类专业课程内容和体系上统筹和协调;其次,要处理好理论教学与实践教学的关系,加强教学科研和社会实践的有机结合,丰富实践教学内容、方式和途径。第三、要处理好课内教学和课外指导的关系,改变单纯重视课堂教学的倾向,通过

广工 EDA课程设计

i 课 程 设 计 课程名称___VHDL 与集成电路设计___ 题目名称___电子钟VHDL 设计______ 学生学院___物理与光电工程学院___ 专业班级___ __________ 学 号_____________ 学生姓名___ ______________ 指导教师_______________ 2014 年 12 月 19 日

目录 一、前言 (1) 1.1 EDA技术简介 (1) 1.2 EDA的发展前景 (1) 二、设计内容及要求 (1) 2.1设计内容 (1) 2.2 设计要求 (1) 2.3 实验目的 (2) 三、设计原理及框图 (2) 3.1设计原理 (2) 3.2 设计框图 (2) 四、模块程序设计 (4) 4.1 秒、分模块程序及仿真 (4) 4.2 时模块程序及仿真 (6) 4.3 消抖模块 (7) 4.4 顶层文件设计 (8) 五、调试 (11) 六、心得总结 (12) 参考文献 (12) ii

一、前言 1.1 EDA技术简介 电子系统设计自动化(EDA: Electronic Design Automation)已成为不可逆转的潮流,它是包含CAD、CAE、CAM等与计算机辅助设计或设计自动化等相关技术的总称。随着信息时代的到来,信息电子产品已不断地向系统高度集成化和高度微型化发展,使得传统的手工设计和生产技术无法满足信息产品的社会和市场需要,因此,人们开始借助于EDA技术进行产品的设计和开发。目前EDA 技术主要是以计算机软件工具形式表现出来的,对于现代复杂的电子产品设计和开发来说,一般需要考虑“自上而下”三个不同层次内容的设计(即:系统结构级设计,PCB板级设计和IC集成芯片级设计)。Protel DXP软件系统是一套建立在IBM兼容PC环境下的CAD电路集成设计系统,它是世界上第一套EDA环境引入到Windows环境的EDA开发工具,具有高度的集成性和可扩展性。本设计就是利用Protel DXP 进行原理图设计、PCB布局布线、进行电路仿真测试。通过本设计充分了解到Protel DXP的特点并且充分掌握了Protel DXP的设计系统的基础知识。 1.2 EDA的发展前景 随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前言之一。 由于在电子系统设计领域中的明显优势,基于大规模可编程器件解决方案的EDA技术及其应用在近年中有了巨大的发展,将电子发展技术再次推向了又一崭新的历史阶段。这些新的发展大致包含了这样6个方面:1.新器件;2.新工具软件;3.嵌入式系统设计;4.DSP系统设计;5.计算机处理器设计;6.与ASIC市场的竞争技术。 二、设计内容及要求 2.1设计内容 设计一个电子钟,要求可以显示时、分、秒,用户可以设置时间。 2.2 设计要求 ①设计思路清晰,整体设计给出框图,提供顶层电路图; ②应用vhdl完成各次级模块设计,绘出具体设计程序; 1

广工EDA数字逻辑第5章

5.7 EDA开发综合实例3:SmartDesign的使用 在Libero中,除了可以编写程序实现相应设计外,还可通过可视化操作方式(“SmartDesign”软件),对现成的模块进行连线和拼装,实现特定的功能。 下例采用可视化方法实现1位全加器,再改造为2位串行进位加法器,操作过程既有通过编写代码建立模块,也有调用现成模块,还有通过IP核创建实例模块,并对多个模块进行拼装和测试。 5.7.1 使用半加器构造全加器 通过半加器来构造全加器的方法在4.7.3中讨论了,以下的模块及其连接均基于图4-24完成。 1.新建工程 打开Libero IDE,选择“Project”菜单的“New Project”命令,输入项目名称、选择项目存放路径,选择语言Verilog(如图5-62所示)。设备的选择同5.6中的实例2。 2.新建SmartDesign设计 在“Project Manager”中点击“SmartDesign”按钮(如图5-63),在弹出的对话框中输入设计名称,如图5-64所示。

工作区中会显示打开了“adders”设计的画布,但画布是一片空白,如图5-65所示。 3.添加半加器模块 点击“Project Flow”切换回项目流程,点击“HDL Editor”按钮,输入并新建Verilog 程序文件。如图5-66所示:

在打开的文件中输入半加器程序代码,代码同4.7.3中的半加器设计。 项目会把第一个建立的模块或设计作为“根”(Root),并加粗显示,如果项目中的根不是“adders”,则可在“Design Explorer”窗口中对着“adders”按右键,选择“Set As Root”进行修改。如图5-68所示:

龙岩学院授予学士学位工作实施细则(试行)

龙岩学院授予学士学位工作实施细则(试行)(2009.9.1修订) 时间:2009-10-13 10:23:43 来源:龙岩学院教务处阅读832次 (二OO九年九月一日修订) 为做好我校学士学位授予工作,保证学士学位授予质量,根据《中华人民共和国学位条例》和《福建省普通高等学校学士学位授予工作暂行办法》,结合我校实际,特制定本细则。 一、学位评定委员会的组成: 校学位评定委员会由十五至二十五人组成,每届任期三年,设主席一人,副主席若干人,委员会成员原则上由具有副高以上(含副高)职称的人员组成。各院(系)成立学位评定分委员会,学位评定分委员会由五至九人组成,每届任期二年,设主席一人,副主席二人,委员会成员原则上由具有副高以上(含副高)职称的人员组成,分委员会主席必须由校学位评定委员会委员担任。 二、校学位评定委员会的职责: 1、根据学位条例的规定,审议学士学位授予专业。 2、审批各院(系)学位评定分委员会成员名单。 3、审查通过学士学位获得者名单。 4、处理授予学士学位工作中的争议和其他事项。 三、院(系)学位评定分委员会职责: 1、审批毕业论文(设计)等答辩委员会成员名单。

2、按学位授予条件对本科毕业生的政治思想表现、课程成绩、毕业实习(教育实习)和毕业论文(设计)等进行审查,提出拟授予学士学位的学生名单和拟不授予学士学位的学生名单。 3、向校学位评定委员会反映有关授予学位的争议问 题,并提 出处理意见。 4、处理校学位评定委员会授权办理的有关事项。 四、校学位评定委员会下设办公室,负责学位评定委员会的有关工作,办公室设在教务处。 五、授予学士学位的条件: 1、本科毕业的学生,拥护中国共产党的领导,遵纪守法,遵守学术道德规范。 2、较好地掌握本门学科的基础理论、专业知识和基本技能,具有从事教学、科学研究或担负专业技术工作的初步能力。 3、毕业实习(教育实习)、毕业论文(设计)的成绩达中等(含中等)以上。 六、有下列情形之一者,不授予学位: 1、因考试违纪受到留校察看处分者; 2、经重修才获得的学分超过28学分者。 七、学士学位审批按以下程序进行: 1、各院(系)学位评定分委员会根据授予学士学位授

广东工业大学eda课程设计报告

课程设计报告 课程名称 EDA课程设计 学院信息工程学院年级班别 学号 学生姓名 指导老师罗思杰 2017年12月09日

目录 一、设计目的和要求: (3) 二、EDA设计: (3) 三、硬件测试: (15) 四、设计和调试过程中遇到的问题及解决方法.. 15 五、完成课程设计后的收获或体会: (15) 六、设计参考文献: (15)

一、设计目的和要求: 1、设计目的: 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、撰写技术报告和编制技术资料的能力,接受一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程经验。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求: (1)以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2)熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3)能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4)学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 二、EDA设计: (1)方案比较: 1、数字电子钟设计 设计一个时钟电路,包括时钟、分钟、秒钟的显示。要求可对时钟、分钟进行预置和修改操作;可设置3组闹铃时间,时间到时给出10秒的报警声或音乐并给出灯光提示。 具体输入/输出要求如下: ① 4位LED数码显示器,分别显示“小时:分钟”或“分钟:秒”时钟;根据需要选择几个LED发光二极管。 ②3个按键,具体功能描述如下:

龙岩学院大地测量学与测量工程

龙岩学院大地测量学与测量工程 重点学科 自 评 报 告 二0一0年四月

大地测量学与测量工程属于测绘科学与技术的三个二级学科之一,我校该学科下招收的测绘工程专业是我省当时唯一的测绘类本科专业(闽江学院已于2007年开始招收该专业本科生),在校院两级领导的关心和指导下,几年来,经过该学科全体教师的共同努力,学科建设按计划进展顺利。现结合近几年来测量教研室在重点学科建设中的发展历程报告如下,请各位专家审阅。 一、学术队伍整体教研、科研能力较强,发展趋势良好 1、学科带头人 大地测量学与测量工程学科负责人陈绍杰副教授,1988年中国矿业大学矿山测量专业本科毕业,2007年至今在山东科技大学在职攻读硕士学位,2001年取得高级讲师职称,2003年取得副教授资格,中国测绘学会矿山测量委员会委员、福建省测绘学会理事。现任龙岩学院资源工程学院党总支书记,具有较强的教学、科研和管理能力。系统讲授了本科生的《测量平差》、《测绘学概论》、《遥感原理与应用》等课程,教学效果好。近4年来,以第一作者在测绘工程权威刊物上发表论文6篇,与他人合作发表论文1篇,出版学术专著1部,作为主要人员参加国土环境与灾害监测国家测绘局重点试验室开放式基金项目1项,主持地市级科研课题5项。近三年可支配科研经费共13万,年均科研经费4.3万。 2、专业教师队伍 经过几年的努力,测绘专业的师资力量得到了增强,教师队伍的专业结构、职称结构、学历结构、年龄结构趋于合理,学术梯队已基本形成,能较好地满足教学和科研的需要。教师的学缘组成良好,8位专业教师分别毕业于中国矿业大学、西安工程学院、江西理工大学、山东科技大学。全日制本科学生163名,生师比为20.38:1。 现有8名专任教师都从事测绘科学与技术学科的教学与科研工作,其中具有硕士学历(或学位)教师2人,占25%;2名教师在职攻读硕士学位,占25%;教师中副高职称3人、中级职称3人、初级职称2人,百分比分别为37.5%、37.5%、25%。 教师年龄结构:中年(36~55岁)5人,占62.5%;青年(35岁以下)3人,占37.5%。教师年龄结构较好,中年教师占多数,教学经验丰富。 注重中、青年教师的培养,鼓励中、青年教师考研、进修,同时,每位年轻教师均安排资历较深、经验丰富的教师作为他们的导师,担任教学和科研的指导,学科学术梯队已基本形成。 3、实验教学队伍 目前测绘工程专业实验教师都由兼职教师组成,各课程实验主要由任课教师负责,实验室管理主要由2位实验系列职称教师负责,8名兼职实验教师中有副教授3名、讲师2名、助教1名、实验师1名、助理实验师1名。

EDA数字逻辑实验报告

实验报告 课程名称_数字逻辑及系统设计实验学生学院____计算机____________ 专业班级软件2012(2)班 _ 学号 3112006177 学生姓名陈海兵 指导教师_____林小平 _________ 2013年 12 月24 日

一、 实验目的 1. 熟练掌握基本门电路的主要用途以及验证它们的逻辑功能。 2. 熟练掌握常用组合逻辑电路的基本原理及其逻辑电路功能。 3. 熟练掌握常用时序逻辑电路的基本原理及其逻辑电路功能。 4. 掌握Libero IDE 基于FPGA 的设计流程。 5. 熟悉FPGA 的设计与开发流程。熟悉芯片烧录的流程及步骤。 二、 实验要求 1. 要求每人能独立完成实验。严禁抄袭。 2. 能独立搭建Libero IDE 软件基础环境,掌握FPGA 的开发流程。 3. 按照实验指导书中P56-69的实验步骤进行设计,每一步骤均需要截图显示。 4. 完成3次仿真(综合前,综合后,布局布线后),并将仿真波形截图显示。 5. 将程序烧录到Actel Proasic3 A3P030 FPGA 核心板,在数字逻辑及系统实验箱上完成连 线,验证代码的正确性。 6. 纸制版的封面单面打印,其他页面必须双面打印。全班刻一张光盘。 三、 实验内容 1. 设计题目:用3-8译码器74HC138实现举重比赛的裁判表决电路的组合逻辑函数 ,写出模块代码和测试平台代码。 2. 74HC138功能表参照教材中P53表2-9,引脚图参照实验指导书中P30图2-16。 3. 把每一个步骤的实验结果截图,按实验指导书中P6图1-7中所列FPGA 引脚,手工分 配引脚,最后通过烧录器烧录至FPGA 核心板上。 4. 按分配的引脚连线,实测相应功能并记录结果。 四、 实验结果与截图 1. 模块及测试平台代码清单。 模块代码 // 74HC138.v module decoder3_8_1(DataIn,Enable1,Enable2,Enable3,Eq,y); input [2:0]DataIn; input Enable1,Enable2,Enable3; output [7:0]Eq; reg [7:0]Eq; output y; reg y; integer I; always @(DataIn or Enable1 or Enable2 or Enable3) begin if(Enable1||Enable2||!Enable3) Eq=0; AC BC AB Y ++=

龙岩学院2012-2013(1)l全校课程表

龙岩学院外国语学院2012~2013学年第一学期课程表(一)A 备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 教务处外国语学院 二○一二年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 教务处外国语学院 二○一二年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 教务处外国语学院 二○一二年九月

龙岩学院化学与材料学院2012~2013学年第一学期课程表(二) 备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 教务处化材院 二○一二年九月

广工数字逻辑与dea设计实验报告

实验报告 1、基本门电路 一、实验目的 1、了解基于Verilog的基本门电路的设计及其验证。 2、熟悉利用EDA工具进行设计及仿真的流程。 3、学习针对实际门电路芯片74HC00、74HC02、74HC0 4、74HC08、74HC32、7 4HC86进行VerilogHDL设计的方法。 4、掌握Libero软件的使用方法。 二、实验环境 Libero仿真软件。 三、实验内容 1、在自己的工程文件中,新建一个设计代码文件(Verilog Source File),文件命名规则:学号+下划线+BasGate 例:3115000001_BasGate.v 在自己的工程文件中,新建一个测试平台文件(HDL Stimulus File),文件命名规则:test_BasGate.v 2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。 3、参考教材P192页的设计代码、测试平台代码(可自行编程,所有门电路放在一个模块里面),完成2输入与非门、2输入或非门、2输入与门、2输入或门、2输入异或门、非门的设计、综合及仿真。 4、提交针对基本门电路的综合结果,以及相应的仿真结果。 四、实验结果和数据处理 1、门电路 ...模块清单及测试平台代码清单 (1)所有硬件功能模块的代码清单(关键代码应有注释) // 3117005278_BasGate.v (综合设计与、或、异或、与非、或非在一个模块) module gates(a,b,y1,y2,y3,y4,y5); input a,b; output y1,y2,y3,y4,y5; assign y1=a&b; assign y2=a|b; assign y3=a^b; assign y4=~(a&b); assign y5=~(a|b); endmodule // test_BasGate.v(综合设计测试平台) `timescale 1ns/1ns module testbench(); reg a,b; wire y1,y2,y3,y4,y5;

龙岩学院毕业证样本学位证样本历任校(院)长学校代码

龙岩学院毕业证样本学位证样本历任校(院)长学校代码 龙岩学院学院简介 龙岩学院是经中华人民共和国教育部批准设立的实行“省市共建、以市为主”管理体制的全日制多科性本科院校。学校面向22个省(市、区)招收本专科学生以及少数民族预科生,全日制在校生11193人,其中本科生10110人;现有教职工737人,专任教师433人,教师中具有副高以上职称218人、具有博士、硕士学位418人;享受国务院政府特殊津贴专家2人;设有12个二级学院(系)和1个思想政治理论课教学研究部,有36个本科专业,所设专业涵盖文学、理学、工学、经济学、管理学、教育学、农学、艺术学等八大学科门类。 学校所设专业涵盖文学、理学、工学、经济学、管理学、教育学、农学、艺术学等八大学科门类。学校占地面积869.8亩,校舍建筑总面积28.72万平方米,现有教学科研仪器设备总值9235万元,配备较为完善的体育设施和多种类的活动场所;图书馆馆藏电子图书140余万册、纸质图书80余万册、纸质报刊1600余种,近三年,学校年度就业率平均达到95%以上,被评为福建省本科高校就业工作优秀单位。 建校以来,学校已培养4万多名本专科毕业生,校

友遍布全国各地、各行业,其中大多数校友已成为当地基础教育和经济社会发展的骨干力量。近几年,学校先后与美国、巴西、澳大利亚等国家和台湾、澳门等地区的高等院校及教育机构建立了校际友好合作关系,与国内许多重点院校、科研机构积极开展学术交流与合作。学校与地方政府、经济开发区、企业等建立了校地、校产、校企合作战略联盟,融入海西区域经济发展,为闽西提供技术服务和智力支持。 历任校(院)长:现任校长陈勇(如学校人员调动,未及时更新,以实际为准,此数据仅供参考) 学校代码:11495 1:1998年-2006年的学位证书采取全国统一编号,证书编号为12位数,前五位为学位授予单位代码;第六位为授予单位的级别,后四位为各校按授予人员排序的顺序号码。 2: 2006年后学位证书编号为16位。1 : 普通博士、硕士、学士学位证书编号调整为16位数:前五位为学位授予单位代码;第六位为授予学位的级别,博士为2,硕士为3,学士为4;第七至第十位为授予学位的年份。 2 : 普通学士学位中的“双学位”和“第二学位”证书,分别在第十一位用一个汉语拼音字母“S”和“E”加以区别,其余与普通学士学位证书编号方式相同。总位数为16位。3 : 成人高等教育本科毕业生所获学位证书,在起始位置加“C”,与普通学士学位证书加以区分,其后续编号为16位数, 成人学士学位证书第十一位为9,普通学士学位证书第十一位可使用0至8中的任何数字,但不得使用数字9,证书其他位的编制规则相同。4 : 自考生所获学位证书,第十一位用汉语拼音字母“Z”标明,其余与成人学士

广工EDA数字逻辑课后习题问题详解

习题答案 第1章 一、单选题 (1)B (2)C (3)B (4)C (5)D (6)B (7)C (8)D (9)C (10)C (11)D (12)D (13)A (14)D 二、判断题 (1)√ (2)√ (3)× (4)× (5)× (6)× (7)√ (8)× 三、填空题 (1)10000111.101、207.5、87.A (2)185.75 (3)1001 0100 (4)B A ?、B A +、B A B A +、AB B A + (5)C B A ABC C AB ++ (6)C A AD ? (7)B A B A + (8)2n (9)1 (10)1 四、综合题 (1) ① B A B A AD B B A AD DE B B A AD C A A C DE C B B D C A A C B DE C B B BD C A A Y +=++=++=++++=+++++=+++++=)1()()()()(

② B A B A B A D D B A B A A D B D B A B A B B A D B A D B A B A B A AB Y +=+++=++++=+++++=+++++=)1)(())(())()(())(( ③ D B C B A D C D B C B DE B B A C A D B D C C B DE B C B C A D BC A D B D C C B DE B A C B A AC DE B A D BC A C B A D C D B C B AC Y ++=+++++=+++++++=+++++++=+++++++=)1()1()()()( (2) ① BCD C B D B A B A D C B A Y ++++= 函数卡诺图如下: 化简结果为:BD D A D C Y ++= ② F(A,B,C,D)=Σm(0,2,4,5,6,7,8,10,12,14) 函数卡诺图如下: 化简结果为:D B A D C B A F +=),,,( ③ F(A,B,C,D)=Σm(1,2,6,7,10,11)+Σd(3,4,5,13,15)

龙岩学院关于聘请兼职教授、客座教授的暂行规定

龙岩学院关于聘请兼职教授、客座教授的暂 行规定 岩学院人〔2008〕27号 为了加强师资队伍建设,促进学科发展,提升学校的整体办学水平,进一步加强和规范聘请兼职教授、客座教授的工作,特制定本规定。 一、兼职教授、客座教授的条件 1、被聘为兼职教授、客座教授的人士必须具有正高级专业技术职务任职资格或在原单位已受聘担任正高级专业技术职务,在学术上应具有国际水平或国内领先水平,且知名度较高。其中客座教授一般为境外学术造诣较高的教授、专家或社会名流。 2、兼职教授、客座教授的聘任应有助于扩大我校在国内外的影响,推进我校的学科发展和学科建设,促进学术交流和国际合作。 3、兼职教授还应具备以下条件之一: (1)两院院士、国内著名学者专家; (2)到我校开展学术交流、举办学术讲座、为我校学科建设和发展提供咨询和指导; (3)与我校开展科研合作,有助于我校申请国内外科研项目,开展科研工作; (4)协助我校申报新专业和学位点; (5)与我校合作培养研究生; (6)承担我校紧缺课程或新开设课程的教学任务;

(7)在其它方面为我校的发展起重要作用。 二、兼职教授、客座教授的聘任程序 1、聘请兼职教授、客座教授,需要聘请兼职教授、客座教授的单位,填写《龙岩学院聘请兼职教授、客座教授审批表》,并提供可证明拟聘者学历、学位、专业技术职务和学术水平的材料,报校人事处;由校长直接审批。 2、聘请由学校按月支付酬金的兼职教授(以下称双聘教授)应按下面程序进行: (1)需要聘请双聘教授的单位,填写《龙岩学院聘请兼职教授、客座教授审批表》,并提供可证明拟聘者学历、学位、专业技术职务和学术水平的材料,报校人事处; (2)校人事处对拟聘教授进行资格审查。若拟聘教授为外籍人员还需经校外事办按程序报批,拟聘台湾人士按以上要求办理外,还应严格遵守国台办和教育部的有关规定; (3)人事调配工作领导小组对拟聘教授进行审定; (4)聘请申请获批准后,由人事处办理聘请手续; (5)颁发聘书。 3、对兼职教授、客座教授,学校每年通过一定的形式予以慰问。 4、兼职教授、客座教授的聘任期限一般为3年。聘任期满后,如工作需要可办理续聘手续。 三、兼职教授、客座教授的管理 1、两院院士、国内外著名学者由党政办公室管理。 2、其它教授由聘请院(系)管理。有关单位应在每年1 2月31日前将本部门所聘兼职教授、客座教授在我校的工作

交通灯控制器设计 广工 数电

课程设计 课程名称电子技术综合设计与实践题目名称交通灯控制器 学生学院自动化学院 专业班级09自动化 4班 学号 学生姓名 指导教师张学习 2011年9 月31 日

广东工业大学课程设计任务书 题目名称交通灯控制器 学生学院自动化学院 专业班级09自动化4班 姓名马聪文 学号3109001620 一、课程设计的内容 设计一个十字路口的红、绿、黄三色信号交通灯控制电路。 二、课程设计的要求与数据 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。 3). 能实现正常的、即时显示功能。用DE2上的四个七段数码管作为倒计时显示器。分别显示东西、南北方向的红灯、绿灯、黄灯时间。 4).能实现特殊状态的功能显示。设S为特殊状态的传感器信号,当S=1时,进入特殊状态。当S=0时,退出特殊状态。按S后,能实现特殊状态功能:(1)显示器闪烁; (2)计数器停止计数并保持在原来的数据; (3)东西、南北路口均显示红灯状态; (4)特殊状态结束后,能继续对时间进行计数。 5).能实现总体清零功能。按下R后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。

相关文档
最新文档