基于FPGA的时间数字转换器设计_学士学位论文

基于FPGA的时间数字转换器设计_学士学位论文
基于FPGA的时间数字转换器设计_学士学位论文

NANCHANG UNIVERSITY

学士学位论文

THESIS OF BACHELOR

(2009—2013年)

题目基于FPGA的时间-数字转换器设计

学院:信息工程学院系电子系专业班级:电子信息工程093班

基于FPGA的时间-数字转换器设计

摘要

时间是物质存在和运动的基本属性之一,它是科学研究、科学实验和工程技术等领域的必不可少的参量。时间-数字转换器作为时间测量技术的核心,在诸多领域都有广泛的应用。实现时间-数字转换电路的方法有许多种,如计数器法、电流积分法、门延迟法以及FPGA法等。本论文设计的是基于FPGA的时间-数字转换器,设计思想是以计数器为粗时间间隔测量单元,门延迟为细时间间隔测量单元,最终基于FPGA实现TDC系统。设计借助了Verilog HDL语言对FPGA 进行设计,完成了边缘检测、计数器及串口输出的软件设计,实现了测量范围为30min,分辨率达1ns的大范围、高分辨率TDC系统的设计。本系统可移植性强,在提高时钟频率和门延迟精度后可应用于微粒子探测、激光测距和定时定位等领域。

关键词:时间-数字转换器FPGA 计数器门延迟分辨率

Abstract

Design of Time to Digital Converter based on FPGA

Abstract

Time is one of the basic attribute of material’s existence and exercise, it’s an essential parameter of scientific researches, scientific experiments, engineering technology and other technology fields. Time-digital converter, as a time measurement technology core, are widely used in many fields.There are many ways to implement the time-digital converter, such as the counter method, the current integration method, the gate delay method and the FPGA method. This thesis designed a FPGA based TDC, the design idea is using the counter as a crude time interval measurement, the gate delay as a precise time interval measurement, and finally, the system is achieved by the FPGA. In the design, with the language of Verilog HDL, we achieved the software design of the edge detection, the counter and the outputting of serial. A measurement range of 30min, 1ns resolution of the large-scale, high-resolution TDC system is designed. This system is portable, if the clock frequency and the accuracy of gate delay are improved, it can be used in particle detection, laser ranging and timing positioning and any other fields.

Keywords: Time to Digital Converter; FPGA; Counter; Gate delay; Resolution

目录

摘要.................................................................................................................................I ABSTRACT...................................................................................................................II 前言 (1)

1.1选课的背景及意义 (1)

1.2国内外研究现状 (1)

1.3课题所做的工作及论文章节安排 (2)

1.3.1设计思想 (2)

1.3.2论文章节安排 (2)

时间-数字转换技术研究与分析 (4)

2.1时间-数字转换电路的应用领域研究 (4)

2.2时间-数字转换电路实现方法分析比较 (4)

2.2.1计数器法 (4)

2.2.2电流积分法 (5)

2.2.3门延迟法 (6)

2.2.4 FPGA法 (6)

2.3 小结 (6)

时间数字转换系统的硬件设计 (7)

3.1硬件系统总体设计 (7)

3.2开发板介绍 (7)

3.3 FPGA芯片介绍 (9)

3.3.1 FPGA简介及Cyclone ⅡEP2C5Q208C8N芯片概述 (9)

3.3.2Cyclone ⅡEP2C5Q208C8N芯片的特点 (10)

3.4 FPGA开发流程 (10)

3.4.1功能定义和器件选型 (10)

3.4.2设计输入 (11)

3.4.3布线布局 (11)

3.5 Quartus II仿真平台介绍 (12)

3.5.1设计输入 (12)

3.5.2项目编译 (13)

3.5.3项目仿真 (13)

时间-数字转换系统的软件设计 (14)

4.1 Verilog语言介绍 (14)

目录

4.2基于Verilog语言的FPGA总体设计 (14)

4.2.1设计目标及核心原理 (14)

4.2.2边缘检测工作原理及设计 (16)

4.2.3计数器工作原理及设计 (16)

4.2.4门延迟细计数原理及设计 (18)

4.2.5数据计算模块原理 (20)

4.2.6串口输出模块设计 (20)

系统测试 (22)

5.1 边缘检测仿真 (22)

5.2计数器仿真 (22)

5.3串口发送数据仿真 (23)

5.4时间-数字转换系统测试 (23)

总结 (25)

参考文献(Reference) (26)

致谢 (27)

附录 (28)

第一章前言

1.1选课的背景及意义

时间既是一个抽象的概念,又是物质存在和运动的基本属性之一。精密的时间在科学研究和实验当中充当着重要物理参量,为所有的时序系统和动力学系统提供了必不可少的时基坐标。传统的时间概念有两种含义,一种是指时间坐标系统中的某一时刻;另一种指时间系统中的一段时间间隔。本文所讨论的时间均指后者。

时间-数字转换器(TDC)是时间间隔测量的工具,它在各个领域都有着广泛的应用。TDC技术原本用于核物理实验的高能粒子检测,近几年,随着科技的不断进步和人们生活水平的不断提升,人们对食品和药品的安全性越发关注,这就要求一个高速率、高精度的检测设备。TDC技术作为一种成熟的微小粒子检测技术,近几年中取得了比较大的进步。TDC技术也被广泛的应用于有机合成、石油化工、农药残留检测等方面,具有良好的发展前景。

在国防建设和国民经济等领域,TDC技术的发展意义重大。精确的时间间隔测量技术(ps级)在定位定时、激光测距、航天遥控、物理实验和天文实验等领域都具有非常广泛的应用。TDC技术是时间间隔测量的主要实现途径,其发展和应用对于整个国防建设和人民生活水平的提高都具有非常重大的意义。目前,世界各强国都在努力地发展这项技术。

TDC技术的发展前景广,应用范围大,且具有较大的进步空间,本课题决定研究时间-数字转换器的设计及实现。

1.2国内外研究现状

近些年来,TDC技术在自动检测设备、激光探测、频率测量、相位测量、医疗图形扫描等研究领域得到广泛的应用。美、日、欧等国家都对时间间隔测量技术作了大量研究,他们利用集成电路领域的优势,发展了大量精确的时间间隔测量技术。在美国,PTTI年会决定每年对该专题进行讨论,美国国家科学院已把它作为评估国防力量的重要标志之一。同时,时间间隔测量技术也被列为国家须大力发展的科学技术。然而相对来说,我国的TDC技术起步较晚,在近几年才相继有专家对这方面技术进行研究。加之国外对此技术的控制非常严格,缺乏必要的支持和交流,这使得我国的TDC技术几乎是在完全空白的基础上进行研究和发展的。因此,我国在这方面的技术还比较落后,急需大力的发展和研究。

目前,国外实现TDC电路的方法有很多种,且趋于成熟。早期的TDC电路一般由印刷电路板上的分立元件组成,然而分立元件占用面积大、功耗高、一致性差,且元件经常受到环境因素的影响,使得电路的稳定性较差。后期的TDC 设计分为两种:一种用几个独立的集成电路搭建一个或几个TDC;另一种用的是单独的专用TDC芯片,通常是ASCI。这两种设计都具有各自的特点。其中,专用的TDC芯片一般是为某种功能而定型设计的,其性价比高,适用于各种工程的大量使用。然而,正因其定型性高,在兼容性方面显得比较差,而且相对来说TDC芯片的开发成本很高,一般在小规模实验中,往往需要购买别人研制的专用TDC。使用若干个集成电路搭建的TDC的方法具有更强的灵活性,实验者可以根据本实验的需要来设计TDC,这种方法通常被小规模实验者所青睐。总体看来,这两种实现方法都存在各自的缺点和弊端,一定程度上限制了TDC技术的开发应用。

近几年来,可编程的ASCI技术得到迅速的发展,包括CPLD和FPGA,而其中的FPGA的发展十分显著。有些FPGA芯片都已经达到了ASCI工艺水平,它们具有很高的密度,且能工作在较高速的片上时钟下。目前,FPGA工艺使TDC设计向低成本、低功耗和高集成度方向发展。基于FPGA的TDC电路设计工艺简单、成本低、设计难度小且流片成功率高。在技术上,许多国家在利用FPGA设计时间数字转换器已趋于成熟。例如美国的ORTEC公司、德国的ACAM 公司等均开发了属于自己的相关TDC产品,其指标可达100ps至14ps。

1.3课题所做的工作及论文章节安排

1.3.1设计思想

本文的总体设计思想是利用计数器和延迟门分别对时间进行粗测量和细测量。在软件设计方面采用Verilog语言对FPGA芯片进行程序设计。在硬件设计中,配备了50MHz频率的时钟,采用Cyclone ⅡEP2C5Q208C8N为核心芯片,实现对外围电路控制和计算,并将结果通过串口转USB接口传输到PC机从而实现仿真。

1.3.2论文章节安排

本文分六个章节对课题进行论述:

第一章前言,本章节概述了TDC的作用和国内外发展现状,介绍了课题的背景及意义,并从总体上概括了实现本课题的设计思想;

第二章TDC技术的研究与分析,本章节列举了TDC技术在各领域的应用,并介绍分析了几种实现时间-数字转换器的方法,确定了本课题研究的方向;

第三章系统硬件介绍,本章节介绍了TDC硬件系统的构成框图,着重介绍了所选用的FPGA芯片以及课题设计中所用到的仿真软件;

第四章FPGA软件设计,本章节介绍了硬件描述语言Verilog,并重点阐述了基于FPGA实现TDC的设计方案,包括计数器法和延迟门法,给出了相应的框图和工作原理;

第五章系统测试,本章节给出了TDC系统各个模块的仿真测试结果,验证了设计的正确性;

第六章总结,对设计过程和内容进行了总结。

第二章时间-数字转换技术研究与分析

第二章时间-数字转换器技术研究与分析

2.1时间-数字转换电路的应用领域研究

在很多方面,绝对的时间值并没有太大的意义,起重要作用的一种相对的时间概念,也就是所谓的时间间隔。精密的时间间隔测量在诸如地球动力学研究、相对论研究、原子核和粒子物理、脉冲星周期研究和人造卫星动力学研究等基础研究领域有着重要的作用。在国防应用中,时间间隔测量的重要方法之一就是激光测距,利用激光自身的优点,它能实现高精度的长度测量、距离测量、角度测量、速度测量等等。由于激光测距具有速度快、精度高等特点,它被广泛的应用于军事、农业、地质、电力、水利、通讯、消防、反恐等各个领域。

由于时间测量的应用越来越广泛,目前,针对其设计的时间数字转换电路有很多种,这些时间数字转换电路都具有各自的特点和应用场合。接下来,本文将介绍几种TDC电路实现的方法。

2.2时间数字转换电路实现方法分析与比较

2.2.1计数器法

计数器法是时间间隔测量中最常用和最基本的一种方法,实现原理非常简单。如图2-1所示,start信号启动计数器开始计数,当计数器接收到stop信号时停止,计数器从开始计数到停止计数的这段时间为所测量到的时间。从图2-1中可以看到,从start信号启动到计数器开始工作有一个延迟时间T0。在收到stop 信号时,由于计数器的计算是以接收到的上升沿个数为基准,T1也被当做测量到的有效时间。实际时间间隔t=t1-t0,而测量时间T=t3-t1。误差△t=T1-T0。而0≤ |T l 一T0|< Tref。

对计数器法进行误差分析可知,采用计数器实现时间间隔测量的误差在最不理想的情况下将接近一个时钟周期。相对来说这种测量方法的误差比较大,需要很高频率的时钟才能完成较高精度的测量,不适合单独的用于精确的时间间隔测量。计数器测量时间的优点是能测量很大范围的时间间隔,因为只要计数器宽度每增加一倍,测量的范围就能扩大一倍。

图2-1计数器原理图

2.2.2电流积分法

电流积分法又称时幅转换法,在早期的时间间隔测量中经常被运用。其示意图如图2-2所示。电流源I对电容C进行充电,充电的开始被start信号控制,记为t1时刻,而stop信号则控制充电的结束,记为t2。电容的电荷量以及压降随start和stop信号之间的时间间隔增大而增加,并且为正比关系。电容充电后的电压为:

Vcap-I=(t2-t1)/C

通过模拟数字转换器,可将得到的与时间间隔成正比的电压Vcap转换成数字量。采用这种方法式,可以做出分辨率很高的时间数字转换器。然而电流积分点对噪声的敏感度高,且动态范围不够大。由于电容所能达到的最大电压是确定的,如果要增加测量的动态范围,唯一的途径就是通过减小充电电流或增大电容来改变测量的比例常数。但是单纯的增大电容和减小充电电流不仅受噪声的影响大,而且受电容制作工艺的限制,误差也将增大。

图2-2电流积分法示意图

2.2.3 门延迟法

近年来,由于CMOS的发展,门时间延迟可达皮秒级别。门延迟法的电路通常由一系列的非门组成延迟线,利用锁存器的锁存功能,实现对时间间隔的测量。其中每一个非门都具有相同的时延,将若干个非门串接后,组成一条延迟线。起始信号start经延迟门传播,当stop信号到来时,在经过若干个延迟门之后被锁存。由此可以计算出start信号与stop信号之间的时间间隔。由于门延迟的延迟级别可达到皮秒级别,采用这种方法能完成很高分辨率(皮秒级)的时间间隔测量,但是其缺点是不能完成很大的时间间隔测量。

2.2.4 FPGA法

近几年来,可编程ASIC技术得到迅速发展,特别是FPGA的发展尤为显著。FPGA是Field Programmable Gate Array的缩写,即现场可编程逻辑器件。目前有些FPGA已经达到ASIC工艺水平,具有很高的密度,能在高速的片上时钟下工作。其缺点是集成度很高,可移植性也随着降低。然而,借助FPGA对TDC 进行设计不仅能保证很高的分辨率,而且还能向高集成度、低功耗、低成本方向发展。同时,由于FPGA电路设计还具有成本低、工艺简单且设计难度小等鲜明的优点,使得越来越多的电路设计人员都选择它作为实现电路设计的目标。正是基于FPGA实现法具有的优点,本设计决定采用基于FPGA实现TDC的设计方案。

2.3小结

在上文论述中可以发现,采用数字计数器的方法实现的TDC虽然能测量较大范围的时间间隔,然而却只能单纯的靠提高晶振来实现分辨率的提高,这就直接加大了研发成本,且可行性小。同时,采用门延迟法对时间间隔进行测量也具有自身的优缺点。这种方法可以实现分辨率达1ns的时间测量,但在测量范围上也具有很大的局限性,不能对大范围的时间间隔进行测量。如何实现一个在保证很高分辨率的情况下,又能测量较大范围的时间间隔的时间-数字转换器?这成为了一个十分有意义的课题。本设计基于这个设计思想出发,同时采用数字计数器及门延迟技术,并基于FPGA,设计出了一套既能满足较大范围内的时间间隔测量,又能保证非常高分辨率(1ns)的TDC系统。攙閿频嵘陣澇諗谴隴泸。

第三章时间-数字转换系统的硬件设计

3.1 硬件系统总体设计

图3-1给出的是硬件系统的设计框图,设计以FPGA为核心,将各种功能的电子元件系统的设计到一起,最终完成时间-数字转换功能。下面简单介绍一下各个模块的功能:

(1)电源:为系统供电,保证工作正常进行;

(2)开关:start开关和stop开关可以控制产生起始信号和停止信号;

(3)CLK:为系统提供晶振,其频率为50MHz;

(4)ROM:存储数据,FPGA从ROM中读取所需要的数据进行计算;

(5)管脚:作为一种输出单元,程序中设定特定的管脚作为输出,可供其他元件从中获取有用的信息,如示波器等;

(6)示波器:将示波器与指定的管脚相连,可以得出设计结果所给出的波形,以对系统进行检测;

(7)串口:通过串口,经FPGA处理后的数据可以被传输到如PC机、激光测距仪等其他计算器件,以实现各种与时间-数字转换相关的功能。

图3-1硬件系统总体设计图

3.2开发板介绍

根据硬件设计的要求,本设计采用如图3-2所示的开发板。

图3-2开发板图

本开发板的核心为EP2C5Q208C8N 芯片。同时包含电源管理模块、User LED 、Reset 按钮、50MHz 时钟、Nor Flash 、SDRAM 、扩展接口、FPGA-JTAG 接口以及EPCS4 Config Device 等功能性模块。开发板功能框图如图3-3所示。本文将对开发板几个主要功能模块进行介绍。

图3-3 开发板功能框图

(1)电源管理接口:本开发板上的FPGA芯片在单独使用时可接受+5V直流电压,电压适配器功率在5V/1A时最为理想。当与其他模块共同工作时,芯片上的电源管理模块能将5V电压分别转换为其他模块所需的电压值。

(2)JTAG调试接口:本接口既可以作为FPGA芯片的调试/编程接口,又可以用于对其配置器件进行编程。板上的JTAG调试接口有10个针孔插座,如图3-4所示,每个针对应的信号都不同。

图3-4JTAG调试插座

表1给出了每个JTAG插座所对应的信号的定义。

表1 JTAG插座信号定义对应表

3.3FPGA芯片介绍

3.3.1 FPGA简介及Cyclone ⅡEP2C5Q208C8N芯片概述

FPGA即现场可编程逻辑器件,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。目前,全球知名的FPGA生产商有Altera、Xilinx、

Actel等公司。下面给出了常用的三种FPGA芯片图片,如图3-5。

图3-5 FPGA芯片图片

本设计所采用的FPGA芯片为Cyclone ⅡEP2C5Q208C8N,它具有4,608个LES,2个高性能PLL,13个18×18硬件乘法器以及多达142个用户自定义IO。同时,它还提供了大容量的SDRAM和Flash ROM等存储单元。所配备的标准的2.54mm间距的扩展插座可以方便的提供给用户使用。在电源方面,只需外接DC5V电源即可。本芯片不论在性能上还是系统灵活性上都很突出,所以,它既适合于资深的硬件工程师,又能被初学者所快速掌握。

3.3.2 Cyclone ⅡEP2C5Q208C8N芯片的特点

1. 系用双层PCB 设计,高密度走线。电源和时钟设计完善,性能稳定可靠、结构美观。支持FPGA 开发,提供引脚信息,预留PLL 资源,支持扩展设计;

2. 该核心板配置有Flash 和SDRAM,是一块独立的SOPC 最小系统板,支持SOPC 及基于Nios II软核处理器的开发;

3. 核心板适合于产品原型的快速开发、学生参加各种电子设计大赛、学习FPGA 和SOPC 设计技术等,亦可用于系统设计前期快速评估设计方案;

4. FPGA 的所有I/O 口全部引出,均可用于扩展。

5. 性价比高,针对学生用户定价,让更多的学生加入FPGA 学习的行列。

3.4 FPGA开发流程

FPGA的设计包括软件设计和硬件设计两部分。设计思想是从系统级到功能模块级的软、硬件协同设计。FPGA的设计流程如图3-6所示,一共包括9个模块。下面分别对其中几个模块进行简要介绍。

3.4.1功能定义和器件选型

对FPGA进行设计时,必须考虑到系统的功能定义以及模块的划分。不同的模块所需的资源及工作速度各有不同,对各模块的器件选择也应不同。在设计时,一般采用自上而下的设计方法:将一个整体的系统划分为若干个模块单元,每个模块单元又可以分为几个基本单元,如此划分下去,直到底层单元可以直接使用EDA库为止。

3.4.2设计输入

设计输入的方式一般有采用硬件描述语言和使用原理图输入等方法。采用原理图输入的方法非常简单,且易于仿真,但是其维护难度大且效率低,并且可移植性差。而采用硬件描述语言的设计优点突出,它与芯片的工艺无关,便于模块的划分和一直,输入效率高且具有很强的逻辑描述和仿真能力。

3.4.3布局布线

布局布线的过程是利用工具将逻辑映射到目标器件的结构资源中,在布局布线时,可以选择最佳的逻辑布局,使系统高效率的完成设计目标。

图3-6 FPGA设计流程图

3.5 Quartus II仿真平台介绍

Quartus II是一种可编程逻辑的设计环境,它具有强大的设计能力和直观易用的接口,为用户提供了一个完整的多平台的开发环境。它包括FPGA设计阶段所需要的设计输入、逻辑综合、布局布线、时序分析、仿真和编程下载等解决方案。借助Quartus II软件,用户可以方便的进行嵌入式软件开发以及实现对可编程逻辑器件的设计。本文介绍了Quartus II软件的设计输入、项目的编译及项目的仿真。

3.5.1设计输入

设计输入包括创建工程、建立图形设计文件、基于单元符号输入和进行宏功能模块的实例化等四个步骤。

(1)创建工程:一个Quartus II工程文件同时包含了设计文件、软件源文件以及完成其他相关操作时所需要的相关文件。打开Quartus II软件后,在文件菜单中,点击file,再选择New Project Wizard(创建工程向导),会弹出如图3-7所示的对话框。在指定工程工作目录、工程名、顶层设计文件名,并为设计中所需要的文件、库、第三方EDA工具指定器件后,工程向导会给出一个总结,最终新工程创建完成。

图3-7工程创建向导对话框

(2)建立图形设计文件:在新工程被创建后,选择file中的New,可以新建设计文件类型选择窗口。点选Device Design files页面下的Block

Diagram/Schematic File,点击OK即可进行图形设计文件输入。

(3)基本单元符号输入:Quartus II软件可以为用户提供大量的基本单元符号和宏功能模块,设计者只需要在原理编辑器中直接调用即可。

(4)进行宏功能模块实例化:本功能可以帮助用户建立或修改包含自定义宏功能模块变量的设计文件。

3.5.2项目编译

编译器可以对项目进行检查并完成逻辑综合,并将结果生成文件。编译操作过程如下:

(1)选择Tools下的Compiler Tool将出现编译器窗口,这个窗口包含了全译过程中各个模块的功能。

(2)选项设置:通常在设计时,用户必须指定某个器件系列。该过程可以

放到编译过程中执行。编译器选项设置可以进行指定目标器件系列、设置编译过程及设置Fitter等操作。

(3)引脚分配:在选择好目标器件,完成设计分析并得到工程数据库文件之后,需要对设计的输入、输出引脚指定具体的器件引脚编号,这一操作称为引脚分配。

3.5.3 项目仿真

在完成上述一系列操作之后,还需使用仿真工具对设计的功能及时序进行全面的仿真测试,仿真器可以实现时序仿真。在开始仿真之前,必须为每一个输入引脚指定输入信号以作为仿真器的激励信号。通过这些激励信号,仿真器能产生对应的输出信号,以验证设计是否成功。通常仿真过程都包括创建仿真文件、设计仿真及对仿真结果分析这三个部分。

(1)创建仿真文件:点选File下的New命令,弹出对话框。在对话框中选择Other Files,再选择Vector Waveform File,最后选择OK按键,此时将打开一个空的波形编辑器窗口。波形编辑器缺省情况下的仿真结束时间为1μS,为了方便观察到仿真过程,设计者可以通选择Edit下的End Time命令来对仿真结束时间进行设置。

(2)设计仿真:在指定好仿真类型并已生成文件后,选择Processing下的Start Simulation命令即可启动仿真器。此时,仿真报告窗口和状态窗口也将自动弹出,状态窗口将显示仿真的进度以及所仿真进行的时间。在仿真结束后,报告窗口将显示输出节点的仿真波形。

(3)结果分析:设计者对报告窗口和状态窗口的数据及图形的分析,可以与自身的设计目标对照,确定设计的正确性。

基于FPGA的数字时钟的设计1

基于FPGA的数字时钟的设计课题: 基于FPGA的数字时钟的设计 学院: 电气信息工程学院 专业: 测量控制与仪器 班级 : 08测控(2)班 姓名 : 潘志东 学号 : 08314239 合作者姓名: 颜志林 2010 年12 月12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉与掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题与故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计与组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用与掌握,使学生在实验原理的指导下,初步具备基本电路的分析与设计能力,并掌握其应用方法;自行拟定实验步骤,检查与排除故障、分析与处理实验结果及撰写实验报告的能力。综合实验的设计目的就是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟就是一种计时装置,它具有时、分、秒计时功能与显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固与掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识与了解。

1、课题要求 1、1课程设计的性质与任务 本课程就是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析与解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力与严谨的工作作风。 1、2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别就是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别就是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真与测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1、3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时, 鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的就是在七点时进行闹钟功能,鸣叫 过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

FPGA实训报告——简易数字钟

桂林电子科技大学职业技术学院 课题:FPGA实训 专业:电子信息工程技术 学号: 姓名:

目录 关键词: (1) 引言: (1) 设计要求: (1) EDA技术介绍: (1) Verilog HDL简介: (1) 方案实现: (2) 工作原理: (2) 总结: (3) 结语: (3) 程序设计: (4)

数字钟 关键词:EDA、Verilog HDL、数字钟 引言: 硬件描述语言HDL(Hardware Des-cription Language)是一种用形式化方法来描述数字电路和系统的语言。目前,电子系统向集成化、大规模和高速等方向发展,以硬件描述语言和逻辑综合为基础的自顶向下的电路设计发放在业界得到迅猛发展,HDL在硬件设计领域的地位将与C和C++在软件设计领域的地位一样,在大规模数字系统的设计中它将逐步取代传统的逻辑状态表和逻辑电路图等硬件描述方法,而成为主要的硬件描述工具。 Verilog HDL是工业和学术界的硬件设计者所使用的两种主要的HDL之一,另外一种是VHDL。现在它们都已经成为IEEE标准。两者各有特点,但Verilog HDL拥有更悠久的历史、更广泛的设计群体,资源也远比VHDL丰富,且非常容易学习掌握。 此次以Verilog HDL语言为手段,设计了多功能数字钟,其代码具有良好的可读性和易理解性。 设计要求: 数字钟模块、动态显示模块、调时模块、到点报时模块等;必须有键防抖动功能。可自行设计8位共阴数码管显示;亦可用FPGA实验平台EDK-3SAISE上的4位数管,但必须有秒指导灯。 EDA技术介绍: 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 Verilog HDL简介: 硬件描述语言Verilog是Philip R.Moorby于1983年在英格兰阿克顿市的Gateway Design Automation硬件描述语言公司设计出来的,用于从开关级到算法级的多个抽象设

Verilog HDL数字时钟课程设计

课程设计报告 课程设计名称:EDA课程设计课程名称:数字时钟 二级学院:信息工程学院 专业:通信工程 班级:12通信1班 学号:1200304126 姓名:@#$% 成绩: 指导老师:方振汉 年月日

目录 第一部分 EDA技术的仿真 (3) 1奇偶校验器 (3) 1.1奇偶校验器的基本要求 (3) 1.2奇偶校验器的原理 (3) 1.3奇偶校验器的源代码及其仿真波形 (3) 28选1数据选择器 (4) 2.18选1数据选择器的基本要求 (4) 2.28选1数据选择器的原理 (4) 2.38选1数据选择器的源代码及其仿真波形 (5) 34位数值比较器 (6) 3.14位数值比较器的基本要求 (6) 3.24位数值比较器的原理 (6) 3.34位数值比较器的源代码及其仿真波形 (7) 第二部分 EDA技术的综合设计与仿真(数字时钟) (8) 1概述 (8) 2数字时钟的基本要求 (9) 3数字时钟的设计思路 (9) 3.1数字时钟的理论原理 (9) 3.2数字时钟的原理框图 (10) 4模块各功能的设计 (10) 4.1分频模块 (10) 4.2计数模块(分秒/小时) (11) 4.3数码管及显示模块 (13) 5系统仿真设计及波形图........................... 错误!未定义书签。5 5.1芯片引脚图.................................... 错误!未定义书签。5 5.2数字时钟仿真及验证结果 (16) 5.3数字时钟完整主程序 (17) 6课程设计小结 (23) 7心得与体会 (23) 参考文献 (24)

FPGA课程设计多功能数字钟讲解

多功能数字钟 开课学期:2014—2015 学年第二学期课程名称:FPGA课程设计 学院:信息科学与工程学院 专业:集成电路设计与集成系统班级: 学号: 姓名: 任课教师: 2015 年7 月21 日

说明 一、论文书写要求与说明 1.严格按照模板进行书写。自己可以自行修改标题的题目 2.关于字体: a)题目:三号黑体加粗。 b)正文:小四号宋体,行距为1.25倍。 3.严禁抄袭和雷同,一经发现,成绩即判定为不及格!!! 二、设计提交说明 1.设计需要提交“电子稿”和“打印稿”; 2.“打印稿”包括封面、说明(即本页内容)、设计内容三部分;订书机左边装订。 3.“电子稿”上交:文件名为“FPGA课程设计报告-班级-学号-姓名.doc”,所有报告发送给班长,由班长统一打包后统一发送到付小倩老师。 4.“打印稿”由班长收齐后交到:12教305办公室; 5.上交截止日期:2015年7月31日17:00之前。

第一章绪论 (3) 关键词:FPGA,数字钟 (3) 第二章FPGA的相关介绍 (4) 2.1 FPGA概述 (4) 2.2 FPGA特点 (4) 2.3 FPGA设计注意 (5) 第三章Quartus II与Verilog HDL相关介绍 (7) 3.1 Quartus II (7) 3.2 Verilog HDL (7) 第四章设计方案 (8) 4.1数字钟的工作原理 (8) 4.2 按键消抖 (8) 4.3时钟复位 (8) 4.4时钟校时 (8) 4.5数码管显示模块。 (8) 第五章方案实现与验证 (9) 5.1产生秒脉冲 (9) 5.2秒个位进位 (9) 5.3按键消抖 (9) 5.4复位按键设置 (10) 5.5 数码管显示。 (10) 5.6 RTL结构总图 (11) 第六章实验总结 (14) 第七章Verilog HDL源代码附录 (15)

fpga数字钟课程设计报告

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 学号:20133638 姓名:王一丁 指导教师:李世平 设计时间:2016年1月

摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟

目录 摘要 1 课程设计目的 2 课程设计内容及要求 2.1 设计任务 2.2 设计要求 3 VHDL程序设计 3.1方案论证 3.2 系统结构框图 3.3设计思路与方法 3.3.1 状态控制模块 3.3.2 时分秒模块 3.3.3 年月日模块 3.3.4 显示模块 3.3.5脉冲产生模块 3.3.6 扬声器与闹钟模块 3.4 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献

1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。 2 课程设计内容及要求 2.1 设计任务 (1)6个数字显示器显示时分秒,setpin按键产生一个脉冲,显示切换为年月日。 (2)第二个脉冲可预置年份,第三个脉冲可以预置月份,依次第四、 五、六、七个脉冲到来时分别可以预置时期、时、分、秒,第八个脉冲到来后预置结束正常从左显示时分秒。 (3)up为高时,upclk有脉冲到达时,预置位加一,否则减一。 2.2 设计要求 (1)在基本功能的基础上,闹钟在整点进行报时,产生一定时长的高电平。 (2)实现闹钟功能,可对闹钟时间进行预置,当达到预置时间时进行报时。

基于FPGA的数字时钟的设计1

基于FPGA 的数字时钟的设计 课 题: 基于FPGA 的数字时钟的设计 学 院: 电气信息工程学院 专 业 : 测量控制与仪器 班 级 : 08测控(2)班 姓 名 : 潘 志 东 学 号 : 08314239 合作者姓名: 颜志林 2010 年 12 月 12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉和掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题和故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计和组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用和掌握,使学生在实验原理的指导下,初步具备基本电路的分析和设计能力,并掌握其应用方法;自行拟定实验步骤,检查和排除故障、分析和处理实验结果及撰写实验报告的能力。综合实验的设计目的是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟是一种计时装置,它具有时、分、秒计时功能和显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固和掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识和了解。

1、课题要求 1.1课程设计的性质与任务 本课程是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析和解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力和严谨的工作作风。 1.2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真和测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1.3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时,鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的是在七点时进行闹钟功能,鸣叫过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

基于FPGA的多功能数字钟课程设计

F P G A课程设计报告 (实现多功能数字钟)

一、标题:设计多功能数字钟控制电路 二、任务书:用MAX+PLU SⅡ软件及Verilog HDL语言设计 一个多功能的数字钟,包括有时、分、秒的计 时,以及校时(对小时、分钟和秒能手动调整 以校准时间)、正点报时(每逢整点,产生“嘀 嘀嘀嘀-嘟”,4短一长的报时音)等附加功能。 三、关键词:24进制、60进制、正点报时、校时、数字钟 四、总体方案:多功能数字钟控制电路框图是由三部分组成 的,即秒分时控制电路、整点报时控制电路、 时段控制电路。用Verilog HDL硬件描述语 言完成编译和仿真。 五、原理框图如下: ↓ ↓ ↓ 六、Verilog HDL硬件描述语言编写的功能模块: /*秒计数器m60*/

module m60(M,CP60M,CPM,RD); output [7:0]M; output CP60M; input CPM; input RD; reg [7:0]M; wire CP60M; always@(negedge RD or posedge CPM) begin if(!RD) begin M[7:0]<=0; end else begin if((M[7:4]==5)&&(M[3:0]==9)) begin M[7:0]<=0; end else begin if(M[3:0]==9) begin M[3:0]<=0; if(M[7:4]==5) begin M[7:4]<=0;end else M[7:4]<=M[7:4]+1; end else M[3:0]<=M[3:0]+1; end end

基于FPGA的数字钟的设计

数字钟的设计 学生姓名:XXX 学生学号:20XX 院(系):电气信息工程学院 年级专业:20XX级电子信息工程班小组:XXXX 指导教师:XXXX 二零XX年X月XX日

摘要 本设计为一个多功能的数字钟,具有时、分、秒计数显示功能,以24小时循环计数;具有校对功能。 本设计采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计文件,在QUARTUSII工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了一个基于FPGA的数字钟。 系统由时钟模块、控制模块、计时模块、数据译码模块、显示以及组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,由按键输入进行数字钟的清零、启停功能。 关键词数字钟,硬件描述语言,VHDL,FPGA

Abstract The design for a multi-functional digital clock, with hours, minutes and seconds count display to a 24-hour cycle count; have proof functions function. The use of EDA design technology, hardware-description language VHDL description logic means for the system design documents, in QUAETUSII tools environment, a top-down design, by the various modules together build a FPGA-based digital clock. The main system make up of the clock module, control module, time module, data decoding module, display and broadcast module. After compiling the design and simulation procedures, the programmable logic device to download verification, the system can complete the hours, minutes and seconds respectively, using keys to cleared , start and stop the digital clock. Keywords digital clock,hardware description language,VHDL,FPGA

Verilog数字钟课程设计

课程设计报告课程设计题目:数字钟系统设计 学号:2 学生姓名:刘新强 专业:通信工程 班级:1421302 指导教师:钟凯 2016年1月4日

FPGA( Field Programmable Gate Array,现场可编程门阵列),一种可编程逻辑器件,是目前数字系统设计的主要硬件基础。可编程逻辑器件的设计过程是利用EDA 开发软件和编程和编程工具对器件进行开发的过程。 通过modelsim软件下采用verilog语言实现数字钟系统设计,实现了以下几个方面的功能: 1.数字钟基本计时功能 2.数字钟校时功能 3.数字钟系统报时功能 关键词:FPGA ;VHDL;数字钟

一、FPGA与VHDL简介 (1) 1、FPGA与简介 ........................................................................................... 2、VHDL简介 ............................................................................................... 二、课程设计的目的与要求 (2) 1、教学目的.................................................................................................................... 2、教学要求.................................................................................................................... 3、数字钟系统设计要求................................................................................................ 三、设计方案 (2) 1、系统框图.................................................................................................................... 2、模块说明.................................................................................................................... 四、仿真与实现 (3) 1、数字钟基本计时功能实现........................................................................................ 2、数字钟校时功能实现................................................................................................ 3、数字钟系统报时功能实现........................................................................................ 五、实验心得 (4) 六、参考文献 (4) 七、代码 (5)

课程设计用verilog实现简易数字钟

合肥工业大学电子科学与技术专业集成电路前端课程设计报告 设计题目:简易数字钟设计 姓名 学号 班级电子科学与技术1班 日期2010年12月6日

模式:7 按键7 PIO6 引脚7 change 4 3 4 t_hou 1 0 1 t_min 时钟显示 hou2 PIO 39-36 84 83 78 77 hou1 35-32 76 75 74 73 min2 31-28 72 71 70 69 min1 27-24 68 67 52 51 sec2 23-20 50 49 48 47 sec1 19-16 42 41 40 39 灯at 47 106 clock clock0 123(选择1Hz的信号) 模式1 正常计时模式at=0 灯灭 模式2 手动较时模式at=1 灯亮 按建功能:change 控制数字钟在计时和手动调整两个状态之间转换 t_min 分钟手动调整按键 t_hou 小时手动调整按键 clock 标准1HZ时钟信号 中间变量tun 秒到分的进位信号 mod 分到时的进位信号 mt 分钟的控制信号上升沿触发 nt 时钟的控制信号上升沿触发 输出sec1 秒个位 sec2 秒十位 min1分个位 min2分十位 hou1时个位 hou2时十位 at 表示模式的变量0为正常计时模式,1表示手动调整模 式 概述: 要求:1设计一个能显示时、分、秒的简易数字钟。具有时间调整功能。 2利用GW48-PK2系统上的数码管显示时间。 3 调整时间用的按键也使用GW48-PK2系统上的按键。 目的:本次课程设计的目的是为了掌握FPGA技术的层次化设计方法,掌握ModelSim和QuartusⅡ的使用方法。 步骤:用verilog语言在记事本编写程序,然后在ModelSim中仿真,查看波形,再用QuartusⅡ仿真,定义针脚,在面板上模拟。

Verilog数字钟设计实验报告

基于FPGA实现多功能数字钟 ——电子系 071180094 王丛屹 摘要 本文利用Verilog HDL语言自顶向下的设计方法设计多功能数字钟,并通过ISE完成综合、仿真。此程序通过下载到FPGA芯片后,可应用于实际的数字钟显示中,实现了基本的计时显示和设置,调整时间,闹钟设置的功能。 [关键词] FPGA;Verilog HDL;数字钟

一、多功能数字钟的设计 设计一个多功能数字时钟,具有时分、秒计数显示、闹钟功能。能够利用按键实现对闹钟时间的设定并在当前显示时间到时后能够进行闹钟提示。能够利用按键实现“较时”、“较分”功能,随时对数码管的显示进行校正和校对。数字中系统主要由系统时钟,三个功能按键(mode ,turn ,change ),FPGA ,数码管和蜂鸣器部分组成。 图: 多功能数字钟总体设计模块 以下就各个模块说明其功能 1. 分频模块

由于FPGA内部提供的时钟信号频率大约为50MHz,在这需要将它转化成1Hz的标准时钟信号供数字钟的计时显示;在此我采用了级联分频法。 RTL图如下:

最终输出的是1Hz,100Hz,1kHz的标准时钟信号clk_1Hz ,clk_100Hz,clk_1k。 2、计时模块 原理:m是模式按键,当m=0时,进入计时模式,在计时模式下可以进行时间调整。num3,num4产生加速调整时间,当其值为1时,可以快速调整时间,该调整时间的频率由clk提供。counta,count1是手动调节时间。Turn接按键,可以改变当前调节的是小时还是分钟,长按turn键还可以使秒钟信号清零。sec1,min1,hour1输出的是计时的秒,分,时。 RTL图如下: 代码如下:

verilog数字钟设计FPGA

一、课程设计目标 1. 熟悉并掌握verilog 硬件描述语言 ;

是 总模块: module clock(clk,reset,MODE,Alarm_ctr,BT2,H12_24,DSH,DSL,DMH,DML,DHH,DHL,dian,bao _signal,nao_signal); input clk;//50MHz input reset,MODE,Alarm_ctr,BT2,H12_24;//复位键,模式选择按钮,闹钟开关档,调节按钮,12—24小时切换档 output [7:0]DMH,DML,DHH,DHL; //4个数码管显示输入信号 output dian,bao_signal,nao_signal; //时分间隔点,报时信号,闹钟信号 output [3:0]DSH,DSL; //秒钟输出信号 wire [3:0] SH,SL,MH,ML,HH,HL; wire [3:0] LED_mode; wire [3:0] HH12,HL12,HH24,HL24,MH24,ML24,SH24,SL24;

wire [3:0] set_HH,set_HL,set_MH,set_ML; wire _1HZ,_10ms,_250ms,_500ms; wire Keydone1; wire Keydone2; wire co1,co11,co111,co2,co22,co222,set_co2; wire [3:0]mode_flag; assign dian=1'b0; devide_f u1(_1HZ,_10ms,_250ms,_500ms,reset,clk); //分频,得到4种不同频率的时钟信号 key_press u2(_10ms,MODE,Keydone1); //模式档按钮去抖动 key_press u20(_10ms,BT2,Keydone2); //调节按钮去除抖动 mode u3(Keydone1,mode_flag); //通过模式按钮产生不同模式second u4(_1HZ,reset,mode_flag,Keydone2,SH24,SL24,co1); //秒计时 minute u5(co11,reset,MH24,ML24,co2); //分计时 hour u6(co22,reset,HH24,HL24); //小时计时 SEG7_LUT u7(DML,ML); //4个数码管显示

数电课程设计报告(数字钟的设计)

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践内容。通过本次简易数字钟的设计,初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求。 第二章系统概述 2.1设计思想与方案选择 方案一,利用数字电路中学习的六十进制和二十四进制计数器和三八译码器来实现数字中的时间显示。 方案二,利用AT89S51单片机和74HC573八位锁存器以及利用C语言对AT89S51进行编程来实现数字钟的时间显示。 由于方案一通过数电的学习我们都比较熟悉,而方案二比较复杂,涉及到比较多我们没学过的内容,所以选择方案一来实施。

基于FPGA的数字电子时钟设计与实现

课程设计(论文)说明书 题目:基于FPGA的数字电子时 钟设计 院(系):信息与通信学院 专业:微电子学 学生姓名: 学号:0900240115 指导教师: 职称:实验师 2012 年12 月25 日

一、所用设备与器材 1.1仪器设备 使用仪器设备有FPGA DE2-70开发板、PC机、信号发生器。 图1 FPGA DE2-70开发板图 二.系统方案 2.1 设计思想 利用数字电子技术、EDA设计方法、FPGA等技术,设计、仿真并实现一个基于FPGA的数字电子时钟基本功能,其基本组成框图如图1所示,振荡器采用ALTERA的DE2-70实验板的50MHz输出,分频器将50MHz的方波进行分频进而得到1Hz的标准秒脉冲,时、分、秒计时模块分别由二十四进制时计数器、六十进制分计数器和六十进制秒计数器完成,校时模块完成时和分的校正。扩展功能设计为倒计时功能,从59分55秒至59分59秒,每秒亮一盏灯报时。 2.1.1课题背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能更进一步,产品更新换代的节奏也越来越快。 20世纪80年代末,出现了FPGA(Field Progrommable Gate Array),CAE 和CAD技术的应用更为广泛,它们在PCB设计的原理图输入,自动布局布线及PCB分析,以及逻辑设计,逻辑仿真布尔综合和化简等方面担任了重要的角色,

为电子设计自动化必须解决的电路建模,标准文档及仿真测试奠定了基础。硬件描述语言是EDA技术的重要组成部分,VHDL是作为电子设计主流硬件的描述语言。本论文就是应用VHDL语言来实现秒表的电路设计。VHDL语言是标准硬件描述语言,它的特点就是能形式化抽样表示电路结构及行为,支持逻辑设计中层次领域的描述,借用了高级语言的精巧结构简化电路描述,具有电路模拟与验证及保证设计的正确性,支持电路由高层向底层的综合变换,便于文档管理,易于理解和设计重用。 EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。EDA 设计可分为系统级、电路级和物理实现级。 用VHDL语言开发的流程: (1)文本编辑:用任何文本编辑器都可以进行,也可以用专用的HDL编辑环境。 通常VHDL文件保存为.vhd文件。 (2)功能仿真:将文件调入HDL仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只在布线完 成以后,进行时序仿真)。 (3)逻辑综合:将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式和信号的连接关系。逻辑综合软件会生成.edf (edif)的EDA工业标准文件。 (4)布局布线:将.edf文件调入PLD厂家提供的软件中进行布线,即把设计好的逻辑安放到PLD/FPGA内。

FPGA数字钟报告大作业

FPGA课程设计实验报告 题目:基于FPGA 的数字钟设计学院:电子信息学院 专业:电子与通讯工程 学号:109030007 姓名:朱振军

基于FPGA的数字钟设计 一、功能介绍 1.在七段数码管上具有时--分--秒的依次显示。 2.时、分、秒的个位记满十向高位进一,分、秒的十位记满五向高位进一,小时按24进制计数,分、秒按60进制计数。 3.整点报时,当计数到整点时扬声器发出响声。 4.时间设置:可以通过按键手动调节秒和分的数值。此功能中可通过按键实现整体清零和暂停的功能。 5.LED灯循环显示:在时钟正常计数下,LED灯被依次循环点亮。 待增加功能: 1.实现手动调节闹铃时间,在制定时间使扬声器发声。 2.实现微妙的快速计数功能,可实现暂停、保存当前时间、继续计数的功能。 二、设计方案 本文数字钟的设计采用了自顶向下分模块的设计。底层是实现各功能的模块,各模块由vhdl语言编程实现:顶层采用原理图形式调用。其中底层模块包括秒、分、时三个计数器模块、按键去抖动模块、按键控制模块、时钟分频模块、数码管显示模块共7个模块。 设计框图如下: 图一数字钟系统设计框图 由图1可以清晰的看到数字钟系统设计中各功能模块间连接关系。系统时钟50MHZ经过分频后产生1秒的时钟信号,1秒的时钟信号作为秒计数模块的输入信号,秒计数模块产生的进位信号作为分计数模块的输入信号,分计数模块的进位信号作为时计数模块的输入信号。秒计数模块、分计数模块、时计数模块的计数输出分别送到显示模块。由于设计中要使用按键进行调节时间,而按键的动作过程中存在产生得脉冲的不稳定问题,所以就牵扯到按键去抖动的问题,对此系统中设置了按键去抖动模块,按键去抖动模块产生稳定的脉冲信号送入按键控制模块,按键控制模块根据按键的动作对秒、分、时进行调节。

基于FPGA的数字时钟的设计1.(精选)

基于FPGA的数字时钟的设计课题:基于FPGA的数字时钟的设计 学院:电气信息工程学院 专业:测量控制与仪器 班级: 08测控(2)班 姓名:潘志东 学号: 08314239 合作者姓名:颜志林 2010 年12 月12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉和掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题和故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计和组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用和掌握,使学生在实验原理的指导下,初步具备基本电路的分析和设计能力,并掌握其应用方法;自行拟定实验步骤,检查和排除故障、分析和处理实验结果及撰写实验报告的能力。综合实验的设计目的是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟是一种计时装置,它具有时、分、秒计时功能和显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固和掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识和了解。

1、课题要求 1.1课程设计的性质与任务 本课程是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析和解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力和严谨的工作作风。 1.2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真和测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1.3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时,鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的是在七点时进行闹钟功能,鸣叫过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

相关文档
最新文档