cadence 调试解析

cadence 调试解析
cadence 调试解析

调试代码,先打开skill debugger toolbox ,此时调试进程就自动安装了。安装结束后,运行代码遇到错误时,按下调试键debugger。遇到断点时,也可以进行调试(无论调试进程有没有安装)。

当运行程序时遇到了错误,使用dump,stacktrace,where键可以显示skill栈和局部变量。输出会出现在CIW中。

设置断点,点击set breakpoints来设置断点形式,键入函数名,点击OK或Apply。然后运行代码。达到断点时,使用Step,Next,Step Out来一步一步的执行代码。

退出调试,在SKILL Debugger toolbox击Exit Debug Toplevel。每次点击Exit Debug Toplevel,都会退出最近进行调试的。

SKILL Debugger

Dump

在CIW中列出所有变量中当前在栈中的变量,最大值由右边的Where按钮确定。

Stacktrace

在CIW中列出栈中的所有函数及它们的参数,深度由右边的Where按钮确定。

Where

在CIW中列出栈中所有函数和局部变量,深度由右边的Where按钮确定。

Step

由中断处理程序一步一步的进入函数,步数由右边的Step Out按钮确定。

Next

不用每一步都进入子函数,但是允许中断处理程序继续执行,直到栈到达了他的现存深度。函数重复的次数由右边的Step Out按钮确定。

Step Out

允许继续执行直到目前函数的评估返回。函数重复的次数由右边的Step Out按钮确定。

Exit Debug Toplevel

退出当前的调试toplevel

Continue

继续执行命令直到遇到断点

Tracing

开始58页的跟踪模式

Set Breakpoints

开始107页的设置断点模式

Debug Status

显示被跟踪的函数,变量,属性,显示那些被设置了断点或正在被计算的函数

Clear

清除所有的跟踪和断点

Automatic Stacktrace(Levels)

设置栈中函数的数量,每次发生错误时显示。这很有用,如果SKILL Debugger 没有安装成功或者如果错误在srrset中发生,防止Skill Debugger 被键入。

Enter New debug Toplevel on Error

每次错误发生时,点击checkbox 来进入调试

Terminate Debugging and Quit Debugger

卸载调试和关闭调试工具箱

SKILL Lint

检查代码可能的错误和效率低的XX。这个程序对普通检测不出来的错误非常有用。而且,可以帮助你发现没有定义的变量和没有声明的全局变量。你可以选择编写自己的规则。详见95页的“Writing Skill lint rules”.

运行Skill lint ,键入你想分析的文件名字或环境,点击OK或Apply。在默认情况下,当Skill lint 结束运行时会在弹出的窗口中显示输出。

Input File

如果你指定了input file,你就不需要制定context name。

context name

如果没有指定文件,Skill lint将会分析在这个目录(your_install_dir/tools/dfII/pvt/etc/contsxt.ContextName)下的文件。你也可以给context一个目录路径。

Package Prefixes

可接受的函数packable prefixes 和全局变量的列表。Skill Lint 记录了你键入的那些没有前缀的变量,例如tr。详见34页。这帮助你找到你想声明的局部变量,因为前缀不经常用在局部变量上。这也会标记你的程序是不是使用别人程序中的全局变量。

Output

决定在哪显示输出

Print to CDS Log File

将Skill Lint 的输出结果显示在CDS.log文件中和CIW中。

View Output File

弹出一个包含Skill Lint output的窗口。如果你打开了Print To CDS Log File 和View Output File ,output文件将会展示出来,在Output 输入到CDS.log文件中以后。如果你正在Viewing the output ,从File Menu中选择Close Window.

Output File

包含SKILL Lint output 的文件。如果你在这里没有键入一个名字,就会建立一个临时文件而不是永久文件。

Errors:显示错误的数量

General warings:显示普通警告的数量

Top level forms:显示input 文件中表达式的数量

IQ score=-[25*(number of short list errors)+20*(number of long list errors)/(number of top level forms)] (详见35页)

Output line 的综合:Message Group Name 经常是缩写的和大写的;(Built_in Message Name)在括号里和大写里;Mseeage描述。

Check For

打开或关闭SKILL Lint message 的不同分组

Errors

如果程序正在运行的话,Errors将会使messages产生错误,例如error,error global或fatal error。

Warings

有潜在错误的地方,你应该删除你的代码

Undefined functions

列出你运行SKILL Lint时,不被执行的可执行文件

Performance

在你的代码中,对潜在的问题给予提示或建议

Custom

允许你根据更高的粒度来定制错误。通常,你不需要使用这个选项。当你选择Custom时,SKILL Lint form 可通过Customize Messages To Check For按钮来刷新屏幕,在底部可选择另一种形式。

当你选择Customize Messages To Check For时,Customize Messages形式将会出现。以当前标注的Check For部分的形式出现。

如果选择好了,点击OK或Apply,成功设置后会显示你最后设置的结果。

Customize Messages Form

Mseeage Groups 参阅不同种类的报告信息。如果此Mseeage Group被禁用了,那将不会报告这组中的信息了。

你可以独自的打开或关闭参阅SKILL Lint messages 的Messages 。

要选择的话,点击列表工具箱中的任何项。

移动启用和禁用的列表之间的Messages,使用arrow 按钮。

Message Groups

Message Group的名字在Customized Message Form中以粗体显示。,message group 的优先级显示在输出报告的第一行。

Priority Message Group Name

ERROR 被认为是错误的信息

ERR GLOB 列表中同时被用作全局变量和局部变量的变量

EXT GLOB 外部的全局变量

Fatal Error 防止SKILL Lint 继续运行并分析的信息组

HINT 提示你如何使代码更有效的信息组

INFO 所有一般消息

Internal Error 有关故障报告机制的信息组

NEXT RELEASE 标记在下来的版本中可能不会运行的代码的信息组

PACK GLOB 开头为包前缀的全局变量的列表

SUGGEST 显示可以提高你的代码表现的可能的方法

UNUSED VAR 没有被引用的局部变量的列表

WARN 潜在错误

WARN GLOB 没有以包前缀开头的全局变量的列表

Messages

Buit-in Message 的名字出现在输出报告行的括号中。Message Group名字出现输出报告行的首部。只有SKILL代码的信息列在下表中。

Checking the Number of Function Arguments

SKILL Lint 检查通过函数的参数个数。这需要使用先前知道的函数定义,或者从先前运行的SKILL Lint中或以前的程序。

当程序在使用时是未知的,SKILL Lint会延迟检查参数数量直到找定了程序的定义。

如果程序以文件形式使用而在它被定义为这个文件之前并且程序的参数数量发生了变化,这时再次运行SKILL Lint来获得正确结果是有必要的,因为第一次运行时使用了之前的程序。

Checking Function and Global Variable Prefixes

在代码中使用的函数和全局变量被期望在前面加上一个合适的字符串。可以在SKILL Lint 表中键入这些字符串。

默认情况下,完整地检查只是应用于用户定义的全局变量,然而函数和Cadence的前缀通过规范的检查,详见36页。

函数和全局变量的命名规则是:

函数和全局变量的命名规则是一样的;

Cadence官方SKILL函数和全局变量必须以小写字母开始,三个字母和全小写是首选;

用户SKILL 函数和全局变量必须以大写字母开头;

函数或全局变量必须以前缀开始,或者前缀加上一个小写字母(I,v,c,b,e,f,m),后面立即跟上

一个大写字母或者“—”。

Value Returned

t SKILL Lint 成功

nil SKILL Lint失败。有错误或者警告信息

SKILL Profiler

告诉你程序在哪里最耗时和话费最多内存。

计算每个函数花费的时间;显示分配给每个函数的内存;测试性能而不改变函数的定义;显示执行所有函数条用的树图和在这些函数中花费的时间和内存;允许过滤函数,这样你就可以只看那些你感兴趣的函数。

Using the SKILL Profiler

1.点击Start按钮;

2.执行你想要测试的函数;

3.点击Stop按钮。

File——Search

Code Browser

Code Browser显示调用用户定义的函数中的树。显示由父函数调用的子函数的树。你可以依次展开你个数或一个节点;你也可以看任何用户定义的函数的函数定义。

Function to Expand

你想看调用结构的函数。输入函数的名字并点击OK或Apply,代码浏览窗口便会出现。要看函数的菜单,将指针指向一个函数名并按住鼠标中间的键。出现的菜单对所有函数都是一样的。

Find Function

1.输入名字并点击OK或Apply:代码浏览调用图搜索第一个函数实例。如果找到了,这个

函数在代码浏览窗口中会被高亮标记并左对齐。

2.如果再次对同一个函数点击OK或Apply,将会搜索下一个函数实例。

Delete All

删除代码浏览中的所有函数。

Defaults

显示代码浏览器的默认形式,可以让你选择是否浏览源代码的函数调用树或异形的函数调用树。

Source functions

在他们源代码的定义基础上扩展函数的调用树

Profiled functions

扩大调用树的功能,并显示在函数中所花费的时间

Filters

一个表单,允许你选择哪些函数被显示

Tracing

跟踪调用的函数及其特性和变量赋值

Finder

是一个快速参考工具,可以显示语言函数的摘要

Walkthrough

可以从窗口中复制粘贴例子

按住鼠标左键拖动选择任何大小字段

双击鼠标左键来选择一个单词

三击鼠标左键来选择一整节

下面是程序需要做的(而不是等你来整修它)。当你在CIW中键入myFunction1()时,它可以:打印出一个开始的信息;从1到1000循环;打印出结束信息;返回值从1到999.

实验一、Cadence软件操作步骤

实验一基本门电路设计——电路仿真 一、实验内容: 完成CMOS 反相器的电路设计完成CMOS 反相器的电路设计 实验目的 掌握基本门电路的设计方法掌握基本门电路的设计方法 熟悉Cadence 的设计数据管理结构,以及定制设计的原理图输入、电路仿真、版图设计、版图验证工具的使用 二、实验目的:基于csmc05工艺,完成一个具有逻辑反相功能的电路 设计要求:设计要求: 1.反相器的逻辑阈值在Vdd/2附近,即噪声容限最大 2.反相器的版图高度限制为24微米,电源和地线宽度各为2微米 3.反相器宽度限制为mos 器件不折栅 4.为了给顶层设计留出更多的布线资源,版图中只能使用金属1和多晶硅作为互连线,输入,输出和电源、地线等pin脚必须使用金属1 5.版图满足设计规则要求,并通过LVS 检查 三、设计过程: 启动icfb 1.建立自己的设计库 2.用Virtuoso Schematic Composer 画电路图 3. 在Analog Design Environment中进行电路仿真 4. 用Virtuoso (XL)Layout Editer 画版图 5. 利用diva 工具进行DRC检查,用dracula进行DRC和LVS验证。 四、实验步骤 1.Cadence软件操作步骤: (1).点击桌面虚拟机快捷方式图标; (2).打开虚拟机(存放路径:F:\cadence); (3).启动虚拟机

(4).单击右键,Open Teminal,弹出终端对话框,输入Cadence启动命令icfb&(&是后台运行的意思)。 2.. 新建一个库 建立自己的Design Lib 第一步: CIW-> Tools-Library manager 第二步:File-New 弹出“New Library ”对话框,在“Name”项填写要建的design lib的名字,这里是“lesson1”,选择“Attach to an existing techfile” 第三步: 弹出”Attach Design Library to Technology File”对话框,在“Technology Library”中选择st02

ORCAD实验报告

实验一 Pspice 软件的分析过程 【实验目的】: 熟悉PSpice 的仿真功能,熟练掌握各种仿真参数的设置方法,综合观测并分析仿真结果,并能够对结果进行分析与总结。 【实验内容】: 1、完成教材P150的例6.1.1、例6.1.2和例6.1.3,其原理图分别如图1-1、图1-2和图1-3所示,并对仿真结果进行分析和总结。 R1R31k R41k 2、对图1-4所示电路运行直流工作点分析(Bias Point Detail )。 根据PSpice 软件的仿真结果 ① 计算A 、B 之间的电压的值; ② 计算两个电源输出的电流值; ③ 如果在A 、B 之间用一根导线直接连接或接一电阻,问电路的工作状态有无变化。 VOFF = 0VOFF = 0R6C12n L14H 图1-2

【实验步骤】: 1. 图一均为直流分量,故对其进行静态分析。观察各点电流电压以及功率; 2. 图二,图三为交流分量,故使用时域分析观察电感电容的电压电流和功率随时间变化的 波形; 3. 对图1-4所示电路运行直流工作点分析。 【实验结果】: 一,对图一进行静态分析,所得结果如下 可以看出, R1与并联回路、R3与R4串联分压R3与R4串联的回路与R2并联分流。 二,对图二进行时域分析,波形如下图所示: R4R1图1-4

10V 0V SEL>> -10V V(L1:1) 10mW 0W -10mW W(L1) I(L1:1) Time 可以看出,电流经过电感时,相位减少了九十度,使得计算出的功率曲线成为如图所示结果对图三进行时域分析,波形如下图所示: 1.0mW 0W -1.0mW W(C1) 200uA 0A -200uA I(C1) V(C1:2)V(0) Time 可以看出,电流经过电容时,相位减少了九十度,使得计算出的功率曲线成为如图所示结果三,对图1-4所示电路运行直流工作点分析,结果如下 1. A、B两点间的电压值为0v。

两级运算放大器的仿真验证

实验一、两级运算放大器的仿真验证 一、实验目的 1、学习集成运算电路单元的设计参数的仿真、测试、验证。 2、学习采用Cadence工具实现IC电路设计的基本操作和方法,包括电路图的编辑以及仿真调试过程。 二、实验内容 本实验通过设计一个两级运算放大器电路学习Cadence工具下电路的设计和仿真方法。实验内容包括: 1.熟悉Cadence界面及基本的建立新的cell文件等基本过程; 2.完成两级运算放大器电路的设计; 3.利用Cadence的仿真环境得到波形,分析仿真结果。 该电路设计采用上华CSMC0.5umCMOS工艺设计,工作电压5V。 三、实验原理 运算放大器是一个能将两个输入电压之差放大并输出的集成电路。运算放大器是模拟电子技术中最常见的电路,在某种程度上,可以把它看成一个类似于BJT或FET 的电子器件。它是许多模拟系统和混合信号系统中的重要组成部分。

它的主要参数包括:开环增益、单位增益带宽、相位阈度、输入阻抗、输入偏流、失调电压、漂移、噪声、输入共模与差模范围、输出驱动能力、建立时间与压摆率、CMRR、PSRR以及功耗等主要包括四部分:第一级输入级放大电路、第二级放大电路、偏置电路和相位补偿电路。 1.共模抑制比:差分放大电路抑制共模信号及放大差模信号的能力,常用 共模抑制比作为一项技术指标来衡量,其定义为放大器对差模信号的电 压放大倍数Aud与对共模信号的电压放大倍数Auc之比,称为共模抑制 比,英文全称是Common Mode Rejection Ratio,因此一般用简写CMRR 来表示,符号为Kcmr,单位是分贝db。 2.共模输入范围:是指在差分放大电路中,二个输入端所加的是大小相 等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范 围。 3.电源抑制比:是输入电源变化量(以伏为单位)与转换器输出变化量 (以伏为单位)的比值(PSRR),常用分贝表示。通常把满量程电压变化 的百分数与电源电压变化的百分数之比称为电源抑制比。 4.输出摆幅:指的是,当输出信号为电压的时候,外部量的变化引起的输 出电压变化。对于无源器件,这个变化通常是从某个负电压到某个正电 压。而对于有源器件,这个变化是相对于某个固定电压,做一定幅度的 上下偏移。(无源器件也可以看作是相对电压0做偏移)。 四、实验步骤 1、登陆到UNIX系统。 在登陆界面,输入用户名stu01和密码123456。 2、Cadence的启动。 登录进去之后,点击Terminal出现窗口,输入icfb命令,启动Cadence软件。 3、根据设计指标及电路结构,估算电路参数。 4、利用Candence原理图的输入。 (1)Composer的启动。在CIW窗口新建一个单元的Schematic视图。 (2)添加器件。在comparator schematic窗口点击Add-Instance或者直

cadence入门教程_修改版

Introduction to Cadence Customer IC Design Environment 熊三星徐太龙编写 安徽大学电子信息工程学院微电子学系

目录 1. Linux 常用命令 (3) 2. 软件的启动 (5) 3. 建立工程 (7) 4. 画原理图 (9) 5. 原理图仿真 (17) 6. 生成symbol (25) 7. 版图 (30) 8. DRC检查 (50) 9. LVS检查 (54) 10. PEX参数提取 (58) 11. 后仿真 (61)

1.Linux 常用命令 目前,电子设计自动化(Electronic Design Automation, EDA)工具多数都基于Linux操作系统,因此在学习使用EDA之前,有必要掌握一些Linux操作系统的基本命令。 1.mkdir mkdir命令让用户在有写权限的文件夹(目录)下建立一个或多个文件夹(目录)。其基本格式如下: mkdir dirname1 dirname2 ... (dirname 为文件夹或者目录的名字) 2.cd cd命令让用户进入一个有权限的文件夹(目录)。其基本格式如下: cd Filename (Filename为文件夹或者目录的名字) cd .. (.. 表示上一层文件夹或者目录) 3.ls ls命令用以显示一个文件夹(目录)中包含的文件夹(目录)或者文件。其基本格式如下: ls Filename (Filename为文件夹或者目录的名字) 如果ls命令后没有跟文件夹(目录)名字,显示当前文件夹(目录)的内容。 ls 命令可以带一些参数,给予用户更多相关的信息: -a : 在UNIX/Linux中若一个文件夹(目录)或文件名字的第一个字元为"." ,该文件为隐藏文件,使用ls 将不会显示出这个文件夹(目录)或文件的名字。如cshell 的初始化文件.cshrc,如果我们要察看这类文件,则必须加上参数-a。格式如下:ls –a Filename -l : 这个参数代表使用ls 的长(long)格式,可以显示更多的信息,如文件存取权,文件拥有者(owner),文件大小,文件更新日期,或者文件链接到的文件、文件夹。 4.cp cp命令用于文件夹(目录)或文件的复制。其基本格式如下: cp source target 将名为source的文件复制一份为名为target的文件。如果target 文件不存在,则产生文件名为target 的文件,如果target 文件存在,缺省时自动覆盖该文件。 cp file1 file2…dir 将文件file1 file2 ... 都以相同的文件名复制一份放到目录dir 里面。

cadence实验报告

( 实验报告) 姓名:____________________ 单位:____________________ 日期:____________________ 编号:YB-BH-054179 cadence实验报告Cadence experiment report

工作报告| Work Report 实验报告cadence实验报告 PSpice Simulation Experience 6 20xx0xx21236 张双林 Work requirement : please read the exercise of page 117 from the spice book, but simulate the device model parameters and operational point at 30 degree and 100 degree. Please Run the TEMP analysis with Pspice and answer the question of the exercise Please hand out a e-report about your work I. Net-lists and circuit figure : And the net-list file goes : EX3 DC Analysys exapal1.2 .MODEL MELQ NPN BF=100 IS=1E-16 Q1 2 1 0 MELQ RB 3 1 200K RC 3 2 1K VCC 3 0 DC 5 .temp 30 100 .OP .END II. Simulation result : According to the output file, we can draw a table to contrast the difference: 第2页

orcad实验报告

竭诚为您提供优质文档/双击可除 orcad实验报告 篇一:orcad实验报告一 成绩 电路计算机辅助设计 实验报告 实验名称电路原理图的绘制实验班级电子xx姓名xx学号(后两位)xx指导教师xx实验日期20XX年11月10日实验一电路原理图的绘制 一、目的:熟悉在orcAD中的功能及画图操作步骤二、实验内容:1.画出电路图 (Vcc位于place/power/capsym.olb0位于 place/ground/source.olb下,ouT是place/netalias) 1.orcADcapture、orcADpspice、orcADLayout的功能是? 答:orcADcpture用于原理电路图设计;orcADpspice 用于电路模拟仿真;orcADlayout用于印制板电路设计2.说明电路设计流程与画电路图的步骤。 答:电路设计流程:①用orcADcpturecIs软件②新建

设计项目③配置元器件符 号库④进入设计项目管理窗口⑤启动电路图编辑器⑥绘制电路图⑦电路图的后处理和结果保存。 画图步骤:①调用pageeditor②绘制电路图③修改电路图④电路图的后处理和结果输出。 3.在orcADcapture电路编辑环境中,如何加载元件库? 答:在orcADcpture电路编辑环境中,选中library目录后,单击鼠标右键,屏幕上鼠标处弹出AddFile快捷菜单,单击AddFile弹出AddFiletoprojectFolder对话框,在c:\cadence\psD_14.2 \tools\capture\library目录下选择所需要的图形符号库文件添加到项目中。4.在orcADcapture电路编辑环境中,如何取用元件? 答:在orcADcpture电路编辑环境中,完成所需符号库添加后,单击某一库名称,该库中的元器件符号将按字母顺序列在其上方的元器件符号列表框中。然后通过元器件符号列表框右侧的滚动条查找元器件名称或在part文本框中键入欲查找的元器件符号名称。若所选符号正是要求的元器件符号,按“ok”按钮,该符号即被调至电路图中。 5.在orcADcapture电路编辑环境中,如何放大和缩小窗口显示比例?答:Zoomin和Zoomout放大和缩小窗口显示比例。

基于Spectre运算放大器的设计

《集成电路CAD》课程设计报告 课题:基于Spectre运算放大器的设计 一:课程设计目标及任务 利用Cadence软件设计使用差分放大器,设计其原理图,并画出其版图,模拟器各项性能指标,修改宽长比,使其最优化。 二:运算放大器概况 运算放大器(operational amplifier),简称运放(OPA),如图1.1所示: 图1.1运放示意图 运算放大器最早被设计出来的目的是将电压类比成数字,用来进行加、减、乘、除的运算,同时也成为实现模拟计算机的基本建构方块。然而,理想运算放大器的在电路系统设计上的用途却远远超过加减乘除的计算。今日的运算放大器,无论是使用晶体管或真空管、分立式元件或集成电路元件,运算放大器的效能都已经接近理想运算放大器的要求。早期的运算放大器是使用真空管设计的,现在多半是集成电路式的元件。但是如果系统对于放大器的需求超出集成电路放大器的需求时,常常会利用分立式元件来实现这些特殊规格的运算放大器。 三:原理图的绘制及仿真

3.1原理图的绘制 首先在Cadence电路编辑器界面绘制原理图如下: 图3.1电路原理图 原理图中MOS管的参数如下表: Instance name Model W/m L/m Multiplier Library Cell name View name M1 nmosl 800n 500n 1 Gpdk180 nmos symbol M2 nmosl 800n 500n 1 Gpdk180 nmos symbol M3 pmosl 1.1u 550n 1 Gpdk180 pmos symbol M4 pmosl 1.1u 550n 1 Gpdk180 pmos symbol M5 nmosl 800n 500n 1 Gpdk180 nmos symbol

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

cadence入门教程

本文介绍cadence软件的入门学习,原理图的创建、仿真,画版图和后仿真等一全套过程,本教程适合与初学着,讲到尽量的详细和简单,按照给出的步骤可以完全的从头到尾走一遍,本教程一最简单的反相器为例。 打开终端,进入文件夹目录,输入icfb&启动软件,主要中间有个空格。 启动后出现下图: 点击Tools的Library Manager,出现如下: 上面显示的是文件管理窗口,可以看到文件存放的结构,其中Library就是文件夹,Cell就是一个单元,View就是Cell的不同表现形式,比如一个mos管是一个Cell,但是mos管有原理图模型,有版图模型,有hspice参数模型,有spectre参数模型等,这就列举了Cell的4个View。他们之间是树状的关系,即,Library里面有多个Cell,一个Cell里面有多个View。应该保持一个好习惯就是每个工程都应该建立一个Library,Cell和View之间的管理将在后面介绍。

现在建立工程,新建一个Library,如下左图,出现的对话框如下有图: 在上右图中选择合适的目录,并敲入名字,这里取的是inv,这就是新建的文件夹的名字,以后的各种文件都在这个文件夹下。OK后出现下面对话框 这个对话框是选择是否链接techfile,如果只是原理图仿真而不用画版图,就选择Dont need a techfile,这里我们要画版图,而且有工艺库,选择Attach to an existing techfile,OK 后出现下面对话框:

在technology Library选择tsmc18rf,我们使用的是这个工艺库。Inv的文件夹就建好了,在Library Manager就有它了,如下图: 文件夹建好了后,我们要建立原理图,在inv的Library里面新建Cell如下:

实验报告cadence实验报告三篇_082文档

2020 实验报告cadence实验报告三篇 _082文档 EDUCATION WORD

实验报告cadence实验报告三篇_082文档 前言语料:温馨提醒,教育,就是实现上述社会功能的最重要的一个独立出来的过程。其目的,就是把之前无数个人有价值的观察、体验、思考中的精华,以浓缩、系统化、易于理解记忆掌握的方式,传递给当下的无数个人,让个人从中获益,丰富自己的人生体验,也支撑整个社会的运作和发展。 本文内容如下:【下载该文档后使用Word打开】 PSpiceSimulationExperience6 20xx0xx21236张双林 Workrequirement: pleasereadtheexerciseofpage117fromthespicebook,butsimulat ethedevicemodelparametersand operationalpointat30degreeand100degree. PleaseRuntheTEMPanalysiswithPspiceandanswerthequestionoft heexercisePleasehandoutae-reportaboutyourworkI. Net-listsandcircuitfigure: Andthenet-listfilegoes: EX3DCAnalysysexapal1.2

.MODELMELQNPNBF=100IS=1E- 16Q1210MELQRB31200KRC321KVCC30DC5.temp30100.OP.END II.Simulationresult: Accordingtotheoutputfile,wecandrawatabletocontrastthediff erence: a. b. III.Conclusion: Withaninspectionofthecircuitparametersindifferenttemperat ures,wecanfindthatthevalueofISchangeslargely,about1000tim es,andGM,about16percent,whileabout500mvdecreasingonVCEcau sed.SothebehaviorofTransistorscanbeaffectedbytemperatures largely.

psim_opampsim运放仿真

Op-Amp Simulation – Part II EE/CS 5720/6720 This assignment continues the simulation and characterization of a simple operational amplifier. Turn in a copy of this assignment with answers in the appropriate blanks, and Cadence printouts attached. All problems to be turned in are marked in boldface. For the following problems, use the two-stage op amp you simulated in the previous assignment, using the same value of C C and the same lead compensation transistor you arrived at. For all simulations below, load the amplifier with R L = 1M ? in parallel with C L = 30pF. 1. Common-mode gain; CMRR Common-mode gain measures how much the output changes in response to a change in the common-mode input level. Ideally, the common-mode gain of an op amp is zero; the amplifier should ignore the common-mode level and amplify only the differential-mode signal. Let’s measure the common-mode gain of our op amp. In order to measure the common-mode gain in the open-loop condition, we have to once again “balance” our high-gain op amp very carefully to keep V OUT ≈ 0, just like we did in the last assignment when we measured the transfer function. Remember, we do this by adding a dc voltage source V OS in series with one of the inputs. This voltage source is set to the input offset voltage so that if no other signal is present, the output voltage will be approximately zero. Now, with this adjustment in place, we tie the two inputs together and apply an ac signal v IN , as shown below. L v OUT v IN V OS Plot the common-mode gain (in dB) transfer function of the op amp over the frequency range 1Hz – 100MHz. Plot at least 50 points per decade of frequency for good resolution. Turn in this plot. What is the common-mode gain at 10 Hz? ____________________ What is the common-mode gain at 100 kHz? ____________________ An important figure of merit in op amp design is the common-mode rejection ratio , or CMRR . CMRR is defined as the differential-mode gain divided by the common-mode gain. (Remember, if you express your gains in the logarithmic units of dB, subtraction is

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

cadence入门教程

Cadence 系列软件从schematic到layout入门 一.客户端软件使用及icfb启动 要使用工作站上的软件,我们必须在PC中使用xwinpro等工具连接到工作站上。从开始菜单中,运行xwinpro的xSettings,按照下图设置: 点击上图的Settings在出现的窗口中按如下设置(connect host选择为192.168.1.137):

设置完后,从开始菜单中运行xwinpro的xsessions,应该就可以进入登陆界面,用户名为user1,密码为root。 二、Schematic Cadence系列软件包含了电路图工具Schematic,晶体管级电路仿真工具Spectre,以及版图工具Virtuoso等。一般来说,我们先用Schematic画好电路原理图然后进行仿真,最后用Virtuoso手动画版图或者直接进行版图综合,最后对版图进行L VS,DRC等验证。 在登陆进工作站后,点击鼠标右键,选择tools——>terminal,在弹出的terminal窗口中敲入命令icfb&就可以启动cadence了。 图1 icfb的主界面 我们以建立一个反相器电路为例子: 在icfb中,任何一个电路,不论是已经存在的可以引用的库,还是用户新建立的一个电路,都是一个library. 一个library一般有若干个Cell(单元电路),每个cell有若干个

schematic(电路原理)和若干个layout(版图)。所以,我们要做的第一步,就是先创建一个自己的“库”,File菜单->new->library 图2 新建一个库的界面 从这个新建一个library的界面,我们必须输入新建立的库的名称,并且选择好这个库应该存放的目录,然后注意看右边的三个选项,关于新建立的库是否需要链接到Technology File 的问题。首先,这个Technology File一般是指工艺库,由Foundry提供。如果最终做的电路是需要画出Layout(版图)的,就必须要有工艺库,如果不需要画Layout,那就可以不需要工艺库。由于我们需要演示这一步,所以就选择Attach to an existing techfile。(也可以在建立之后,再Attach to an existing techfile)。 输入name: testinv, (大家在做的时候自己起一个名字)。 现在,我们就已经建立好了一个新的“库”,为了给这个库增加schematic(电路图)和Layout(版图)我们就必须对这个库进行“管理”,从icfb的主菜单(图1)中的Tools菜单->Library Manager.

优秀的cadence实验报告作业

Cadence 实验报告集成运算放大器设计 班级:微电子与固体电子3 班姓名: ** 学号:********* 运用cadence 软件设计运算放大器集成电路版图

目录 一、实验要求 (3) 二、实验目的 (3) 三、实验内容 (3) 1.打开cadence 界面 (3) 2.建立Libarary (3) 3. 绘制原理图 (4) 4. 原理图仿真 (5) 5. 版图设计 (7) 6. 版图DRC 验证 (9) 四、实验总结 (9)

实验报告 一、实验要求 实验为在Cadence 软件环境下自己设计一个放大器,绘制放大器版图并利用Cadence 环境下的Dracular 进行DRC 验证。要求通过实验熟悉版图设计,可以熟练使用各种快捷键,并在版图设计中使用共质心等设计来减小电路可能产生的二级效应。 二、实验目的 通过自行设计一个运算放大器了解集成电路版图设计的流程,从建立一个libarary 和cellview 到可以独立完成一个普通运放的原理图绘制、仿真、版图设计、版图验证。熟悉cadence 软件的使用,用各种软件环境完成版图。学会在设计中发现问题解决问题,如调整管子的宽长比来提高增益获得更好的波形,使用共质心画法消除一定二级效应等。 三、实验内容 1.打开cadence 界面 首先要进入linux 操作环境,之后在linux 下输入指令打开cadence,我用的是实验室的V20z 服务器,在实验室机器桌面上找到Xmanager 进入然后双击Xbrowser 找到对应服务器V20z 点击进入输入帐号密码便可进入linux 操作界面。打开终端(Terminal)之后输入命令icfb&之后可以看到CIW 窗口,标志正式进入cadence 操作环境。 2.建立Libarary 如图1 所示,在CIW 窗口中进入libarary manager,依次点击file-New-Libarary 即可进入新建libaray 窗口,匹配一个工艺库后就能建立一个自己的Libarary,我用的是0.18um 的工艺。选择工艺的窗口如图2 所示。

OrCAD电路的参数分析实验报告

实验四 电路的参数分析 一、实验目的 1、了解对电子电路进行各种参数分析(包括全局参数、模型参数以及温度)的功能。 2、通过对实际电路进行各种参数分析,掌握分析设置方法。 二、实验内容 1、针对实验三的单管放大电路,所有电阻均采用Rbreak 模型,设置其电阻温度系数为tc1=0.01,tc2=0.0005。在交流分析的基础上,对该电路进行温度分析,温度值设定为20℃、35℃、50℃、70℃,观察输出电压最大值的变化。 2、在瞬态分析的基础上,对电阻R3进行参数分析,其电阻值从15k ~30k 变化,观察输出波形曲线簇。 3、在瞬态分析的基础上,输入信号电压从5mv ~30mv 变化时,观察输出波形曲线簇, 4、在交流分析的基础上,使三级管Q1的放大倍数由200变化到350,观察输出电压最大值的变化。 三、实验电路 R2Rbreak 45k OUT R1Rbreak 1k Q1 Q2N2222 R6Rbreak 1k C110U V2 12Vdc C310U PARAMETERS: B = 200 PARAMETERS: RF = 10K R4Rbreak 2.4k R5Rbreak 20k V1FREQ = 1KHZ VAMPL = {VA}VOFF = 0V V R3Rbreak {RF}C2 10U PARAMETERS: VA = 1MV

四、实验过程 (一)温度分析 1、参数设置如下: 对所有的Rbreak模型,设置其电阻温度系数为tc1=0.01 tc2=0.0005。只需选定一个Rbreak模型,打开Edit->PSpice model 进行相应的设置:.model Rbreak RES R=1 tc1=0.01 tc2=0.0005。 需要注意的是,运交流分析时正弦信号的幅度值10mv必须要在Property Editor 中去添加,直接双击V AMPL添加的值不能应用到信号源中。而且交流分析应用对数扫描频率值,与FREQ无关,要根据输出波形来调节扫描的范围及步长。

EDA实验实验报告

数字eda实验实验报告 学院:计算机科学与工程学院专业:通信工程学 号: 0941903207 姓名:薛蕾指导老 师:钱强 实验一四选一数据选择器的设计 一、实验目的 1、熟悉quartus ii软件的使用。 2、了解数据选择器的工作原理。 3、熟悉eda开发 的基本流程。 二、实验原理及内容 实验原理 数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路, 可以采用数据选择器进行选择再对该路信号加以利用。从多路输入信号中选择其中一路进行 输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路 信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称mux。 4选1数据 选择器: (1)原理框图:如右图。 d0 、d1、d2、d3 :输入数据 a1 、a0 :地址变量 由地址码决定从4路输入中选择哪1路输出。 (2)真值表如下图: (3)逻辑图 数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信 号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。在应用中,设置一 定的选择标志信号状态即可得到相应的某一路信号。这就是数据选择器的实现原理。 三.实验内容 1、分别采用原理图和vhdl语言的形式设计4选1数据选择器 2、对所涉及的电路进行 编译及正确的仿真。电路图: 四、实验程序 library ieee; use ieee.std_logic_1164.all; entity mux4 is port( a0, a1, a2, a3 :in std_logic; s :in std_logic_vector (1 downto 0); y :out std_logic ); end mux4; architecture archmux of mux4 is begin y <= a0 when s = 00 else --当s=00时,y=a0 a1 when s = 01 else --当s=01时,y=a1 a2 when s = 10 else --当s=10时,y=a2 a3; --当s取其它值时,y=a2 end archmux; 五、运行结果 六.实验总结 真值表分析: 当js=0时,a1,a0取00,01,10,11时,分别可取d0,d1,d2,d3. 篇二:eda实验报告模版 《eda技术》实验报告

Cadence 快速入门教程

Cadence SPB15.7 快速入门视频教程目录 第1讲课程介绍,学习方法,了解CADENCE软件 第2讲创建工程,创建元件库 第3讲分裂元件的制作方法 区别(Ctrl+B、Ctrl+N切换Part) 点击View,点击Package可以显示所有的元件Part 1、homogeneous 和heterogeneous 2、创建homogeneous类型元件 3、创建heterogeneous类型元件 第4讲正确使用heterogeneous类型的元件 增加packeg属性。点击Option,选择Part Properties,选择new,增加属性。用于在原理图中确定同一块的元件。 1、可能出现的错误 2、出现错误的原因 3、正确的处理方法 第5讲加入元件库,放置元件 1、如何在原理图中加入元件库 2、如何删除元件库 3、如何在元件库中搜索元件 4、放置元件 5、放置电源和地 第6讲同一个页面内建立电气互连(设置索引编号,Tools里面,Annotate来设置) 1、放置wire,90度转角,任意转角(画线时按住Shift) 2、wire的连接方式 3、十字交叉wire加入连接点方法,删除连接点方法(快捷键J) 4、放置net alias方法(快捷键n) 5、没有任何电气连接管脚处理方法(工具栏Place no Conection) 6、建立电气连接的注意事项 第7讲总线的使用方法 1、放置总线(快捷键B) 2、放置任意转角的总线(按住Shift键) 3、总线命名规则(LED[0:31],不能数字结尾) 4、把信号连接到总线(工具栏Place Bus entry 或者E) 5、重复放置与总线连接的信号线(按住Ctrl向下拖) 6、总线使用中的注意事项 7、在不同页面之间建立电气连接(工具栏Place off-page connector) 第8讲browse命令的使用技巧(选中dsn文件,选择Edit中的browse) 1、浏览所有parts,使用技巧(浏览元件<编号,值,库中的名字,库的来源>,双击元件可在原理图上找到元件) 2、浏览所有nets,使用技巧(浏览网络) 3、浏览所有offpage connector,使用技巧(页面间的连接网络,一般一个网络至少会在两个页面中出现) 4、浏览所有DRC makers,使用技巧(DRC检测)

Cadence PSpice 实例(实验报告) 2

PSpice Simulation Experience 2 201200121236 张双林I A bad idea. Net-lists input file saved as ex2_nor.cir: NOR GATE WITH NMOS RL 2 1 1K **M(name) ND NG NS NB M1 1 3 0 0 MMOD L=10U W=20U M2 1 4 0 0 MMOD L=10U W=20U vee 2 0 5 **INPUT SIGNALS V3 3 0 PWL 0 0 1U 0 1.01U 5 2U 5 2.01U 0 3U 0 3.01U 5 R3 3 0 1 V4 4 0 PWL 0 0 2U 0 2.01U 5 R4 4 0 1 .MODEL MMOD NMOS (LEVEL=1 VTO=1) .TRAN 0.02U 8U .PLOT TRAN V(1) V(3) V(4) .PROBE .END But it does not works well Analysis: The current goes through the NMOS Device is not high enough pull the output voltage down II Change the resistance R1 to 100K, it works, although not very well:

III Change the topology to CMOS NOR Gate. The Net-lists File goes: NOR GATE WITH CMOS **RL 2 1 10K **M(name) ND NG NS NB M1 1 3 0 0 MMODN L=10U W=20U M2 1 4 0 0 MMODN L=10U W=20U M3 1 3 5 5 MMODP L=10U W=20U M4 5 4 2 2 MMODP L=20U W=20U vee 2 0 5 **INPUT SIGNALS V3 3 0 PWL 0 0 1U 0 1.01U 5 2U 5 2.01U 0 3U 0 3.01U 5 R3 3 0 1 V4 4 0 PWL 0 0 2U 0 2.01U 5 R4 4 0 1 .MODEL MMODN NMOS (LEVEL=1 VTO=1) .MODEL MMODP PMOS (LEVEL=1 VTO=1) .TRAN 0.02U 8U .PLOT TRAN V(1) V(3) V(4) .PROBE .END And simulation result is wonderful:

相关文档
最新文档