数字电路部分实验指导

数字电路部分实验指导
数字电路部分实验指导

实验六组合逻辑电路设计

亿、实验目的:

1、掌握组合逻辑电路的分析与设计方法。

2、掌握SSI集成门电路的应用。

3、掌握MSI集成电路译码器与数据选择器的应用。

二、预习要求:

复习课本中相关内容。

1、根据题意列出输入、输出真值表。

2、利用卡诺图化简,写出最简或最合适的逻辑函数表达式。

3、利用指定门电路实现逻辑功能。

4、画出已设计完成的逻辑电路及实验用的接线图。

三、实验内容:

1、设计三变量表决电路:

要求:画出逻辑电路图,设计相应表格。自拟实验方案,测试电路的逻辑功能是否与设计功能一致。

(1)用与非门74LS00实现。

(2)用译码器(74LS138、74LS20)实现。

(3)用数据选择器(74LS151及74LS153)实现。

2、用异或门74LS86和与非门74LS00实现全加器电路:

要求:画出逻辑电路图,设计相应表格。自拟实验方案,测试电路的逻辑功能是否与设计功能一致。

四、实验仪器及元器件

数字实验箱、万用表、74LS00、74LS20,74LS86、74LS138、74LS151、74LS153、74LS32等。

五、实验报告:

画出各部分逻辑电路图、真值表、及列出逻辑表达式,整理实验结果并进行分析,说明组合电路的特点和分析、设计方法。

六、实验用门电路介绍:

1、74LS00、74LS20及74LS32管脚及功能

本实验所使用的74LS20(双四输入与非门)、74LS00(四二输入与非门)和74LS32(四2输入或门)是一种低功耗肖特基集成TTL 门电路,其及引线功能及排列图如下:

AB

Y = A B C D Y =

Y = A+B

2、74LS138管脚及功能

双排直立式集成3线-8线译码器74LS138各引脚排列及功能如图所示。

G

1A 1B 2A 2B 2Y GND

1Y 1A 1B 1C 1D 1Y GND

NC

由功能表可知:三个使能端G 1G 2A G 2B ≠ 100时,八个译码输出都是无效电平,即输出全为高电平“1”;三个使能端G 1G 2A G 2B =100时,译码器八个输出中仅与地址输入对应的一个输出端为有效低电平“0”,其余输出无效电平“1”;在使能条件下,每个输出都是地址变量的最小项,考虑到输出低电平有效,输出函数可写成最小项的反,即:

3、74LS151管脚及功能

本实验使用的集成数据选择器74LS151为8选1数据选择器,数据选择端3个地址输入A 2A 1A 0用于选择8个数据输入通道D 7~D 0中对应下标的一个数据输入通道,并实现将该通道输入数据传送到输出端Y (或互补输出端Y )。74LS151还有一个低电平有效的使能端G ,以便实现扩展应用。74LS151引脚功能如图和附表所示。

使能条件下(G = 0),74LS151的输出可以表示为, 其中m i 为地址变量A 2、A 1、A 0的最小项。只要确定输入数据就能实现

相应的逻辑函数,成为逻辑函数发生器。

4、74LS153管脚及功能

74LS153是双4选1数据选择器,是在一块集成芯片上有两个4选

V CC A 2

A 1 A 0 D 7 D 6 D 5 D 4 G A 2 A 1 A 0 Y Y 1 X X X 0 1 0 0 0 0 D 0 D 0 0 0 0 1

D 1 D 1 0 0

1 0 D

2 D 2 0 0 1 1 D

3 D 3 0 1 0 0 D

4 D 4 0 1 0 1 D

5 D 5

0 1 1 0 D 6 D 6 0 1 1 1 D 7 D 7

74151功能表 ∑

==

7

D Y i i

i m

1数据选择器。两数据选择器共用数选输入A 1A 0,无互补输出端。芯片管脚如下图分布,功能如表所示。

S 1、S 2为两个独立的使能端;A 1、A 0为公用的地址输入端;1D 0~1D 3

和2D 0~2D 3分别为两个4选1数据选择器的数据输入端;1Y 、2Y 为两个输出端。

1)当使能端S 1(S 2

)=1时,数据选择器被禁止,无输出,Y =0。 2)当使能端S 1(S 2)=0时,数据选择器正常工作,根据地址码A 1A 0

的状态,将相应的数据D 0~D 3送到输出端Y 。

如:A 1A 0=00 则选择D O 数据到输出端,即Y = D 0。

A 1A 0=01 则选择D 1数据到输出端,即Y =D 1,其余类推。

可用74LS153、反相器74LS04和或门74LS32构成8选1的选择器,如下图所示。

5、74LS86管脚及功能

74LS86是四2输入异或门。

1A 1B 2A 2B 2Y GND

1Y Y = A B

实验七集成触发器

一、实验目的

1、掌握基本RS、JK、D等常用触发器的逻辑功能及其测试方法;

2、研究时钟脉冲的触发作用。

二、预习要求

1、预习教材相关内容,了解触发器功能及时钟边沿。

2、确定实验线路连接,画出接线图,拟定实验必要的表格。

三、实验内容

1.基本R-S触发器功能

与非门(74LS00)按图连接成基本RS触发器,置位端S和复位端R接0/1开关,输出端Q和Q接LED。改变输入端R、S的状态,测试并将测试结果填入下表中。与RS触发器真值表比较。

2. J-K触发器逻辑功能测试:

(1)测试异步复位端R D和异步置位端S D的功能。

74LS112触发器的S D、R D、J、K接0/1开关,输出端Q和Q接LED,CP接手动单脉冲源。按下表要求,在R D、S D作用期间改变J、K、CP的状态,观察LED显示状态,测试并记录R D、S D对输出状态的控制作用。

(2)J-K触发器逻辑功能测试:

改变J、K的状态,并用R D、S D端对触发器进行异步置位或复位(即设置现态Q n)。按下表要求测试其逻辑功能并记录于表中。

(3)观察J-K触发器分频功能

74LS112按下图接线,J、K接高电平(1),CP接2KHz连续脉冲源,R D、S D接高电平(1)。用示波器同时观察并记录CP、Q端波形,验证2分频的功能。

接示波器CH2

接示波器CH1

3. D 触发器74LS74逻辑功能测试:

(1)测试异步复位端R D 和异步置位端S D 的功能。

74LS74一个触发器的S D 、R D 、D 接0/1开关,输出端Q 和Q 接LED ,CP 接手动单脉冲源。按下表要求,在R D 、S D 作用期间改变D 、CP 的状态,观察LED 显示状态,测试并记录R D 、S D 对输出状态的控制作用。

(2)D 触发器逻辑功能测试:

改变D 的状态,并用R D 、S D 端对触发器进行异步置位或复位(即

设置现态Q n

)。按下表要求测试其逻辑功能并记录于表中。

(3)观察D 触发器分频功能

74LS74按下图接线,CP 接2KHz 连续脉冲源,R D 、S D 接高电平(1)。用示波器同时观察并记录CP 、Q 端波形,验证2分频的功能。

接示波器CH2

接示波器CH1

四、实验仪器

数字逻辑实验箱,示波器,74LS00,74LS112,74LS74。

五、实验报告要求

1.RS、JK、D触发器功能验证结论。

2. 阐述基本R-S触发器输出状态“不变”和“不定”的含义。

3. 总结S D、R D的作用。

4.说明触发器状态翻转的时钟边沿(即触发方式)和相关结论。

5. 触发器的分频作用。

六、实验用元件介绍

触发器是一种具有记忆功能的二进制存贮器件,是组成各种时序逻辑电路的基本器件之一。就触发器功能而言,有RS、JK、D、T、T'触发器。就触发器结构而言,一般有主从、边沿之分。边沿型触发器有较好的抗干扰性能。D触发器和JK触发器都有TTL和CMOS集成产品。

1、基本RS触发器

可由二个与非门所组成,如图所示,没有单独的集成产品。在相应的置位端(S)或复位端(R)加有效电平(信号),基本RS触发器置位(Q = 1)或复位(Q = 0)。图示与非门组成的基本RS触发器,有效触发电平为低电平“0”,其功能见附表。

RS触发器真值

2、JK触发器

本试验用74LS112是主从型负沿触发双JK集成触发器(带预置端和清除端),其外引线排列及功能见图和附表。

JK 触发器具有保持、置数和计数三种功能。由CP=1期间J 、K 的状态(按真值表)决定CP 脉冲下跳后触发器状态Q

n+1

。即触发器初态

和次态按CP 的下跳沿划分。表中Q n

是CP 下跳前触发器状态,称为初态;Q n+1称为次态。74LS112的S 端、R 端是低电平有效的直接置位端、直接复位端,该2引脚信号不受CP 控制。主从型JK 触发器的逻辑符号如图所示。

3、D 触发器

74LS74是边沿型双D 触发器,时钟CP 上跳沿有效,即触发器初态和次态按CP 的上升沿划分。

74LS74的引脚如图,D 触发器功能见附表,逻辑符号见上右图。

Q

Q

Q Q

实验八 集成计数器

一、实验目的

1、掌握集成计数器构成N 进制的计数器的连接方法。 二、预习要求

1.熟悉芯片各引脚排列。

2.弄清构成模长M 进制计数器的原理。

3.实验前设计好实验所用电路,画出实验用的接线图。 三、实验内容

1、设计一模长M = 60进制的计数电路。

1)用同步连接反馈预置法实现。 2)用同步连接反馈清零法实现。 2、按设计图连接电路。

CP 接频率为1Hz 的方波脉冲,各计数器的输出Q3Q2Q1Q0接七段BCD 显示译码器CD4511的DCBA 输入端,CD4511的输出接七段数码显示器。

3、.接通实验箱电源,观察七段数码显示器计数状态的变化过程,并记录该状态循环。 四、实验器材

数字逻辑实验箱,74LS160,74LS00,74LS20。 五、实验报告要求

1、60进制计数器的电路设计图、连线图和计数器的测试结果。 4、测试过程中出现的问题及解决办法。 六、实验用元件介绍 1.集成计数器74LS160

本实验所用集成芯片为异步清零同步预置四位8421码10进制加

法计数器74LS161,集成芯片的各功能端如图所示,其功能见附表。

RD LD ET EP CP D 3 D 2 D 1 D 0 Q 3 Q 2 Q 1 Q 0 0 × × × × × × × × 0 0 0 0

1 0 × × ↑ D C B A D C B A 1 1 0 × × × × × × 保 持 1 1 × 0 × × × × × 保 持 74LS160功能表

74LS160为异步清零计数器,即RD端输入低电平,不受CP控制,输出端立即全部为“0”,功能表第一行。74LS160具有同步预置功能,在RD端无效时,LD端输入低电平,在时钟共同作用下,CP 上跳后计数器状态等于预置输入DCBA,即所谓“同步”预置功能(第二行)。RD和LD都无效,ET或EP任意一个为低电平,计数器处于保持功能,即输出状态不变。只有四个控制输入都为高电平,计数器(161)实现模10加法计数,Q3 Q2 Q1 Q0=1001时,RCO=1。2.构成任意进制计数器(模长M≤10)

用集成计数器实现M进制计数有两种方法,反馈清零法和反馈预置法。图(a)为反馈清零法连接( 8进制),图(b)为反馈预置零法连接(8进制)。

( a )( b )

3.集成计数器扩展应用(模长M>10)

当计数模长M大于10时,可用两片以上集成计数器级联触发器来实现。集成计数器可同步连接,也可以异步连接成多位计数器,然后采用反馈清零法或反馈预置法实现给定模长M计数。图所示为同步连接反馈清零法(a)及反馈置数法(b)实现模长48计数电路原理图。

七、其它集成计数器介绍

1.74LS161(同步预置异步复位4位二进制加法计数器)

74LS161有与74LS160一样的引脚排列和功能,区别在于161

是16计数器,Q 3Q 2Q 1Q 0=1111时,CO=1。

2.74LS190(可预置同步可逆BCD 计数器)

74LS190是BCD 同步加/减计数器,并行输出。计数时,时钟CP 的上升沿有效。CP 端、加/减端(D /U )和置数端(LD )都先经过缓冲,从而降低了这些输入端对驱动信号的要求。附表列出了74LS190的主要功能,下面作简要说明。

1)预置数:当置数端(LD )为低电平时,数据输入端信号A 、B 、

C 、

D 将对内部触发器直接置位或复位,结果使Q A =A 、Q B =B 、Q C =C 、Q D =D ,而与其他控制端的电平无关。

2)计数:在允许端S 为低电平,置数端无效(LD =1)的条件下,若

加/减输入端D /U 为低电平,则可进行加计数,反之可进行减计数。

3)禁止计数:当允许端S 为高电平时,计数被禁止。值得注意的是,

允许端的电平应在CP 为高电平时发生变化。

4)级联:当计数器溢出时,进位/借位输出端(CO/BO )产生一个宽

度为一个CP 周期的正脉冲,串行时钟端(Q CR )也形成一个宽度等于时钟低电平部分的负脉冲,上述正脉冲或负脉冲的后沿比产生

B D A

C L

D S U/D CP Q D Q C Q B Q A 1 0 0 ↑ 加计数 74LS190功能表

1 0 1 ↑ 减计数 0 x x ↑ 预置数 1 1 x x 保 持

溢出的时钟脉冲上升沿稍微滞后,它们可作为级联信号来用。例如,把两级74LS190连接为同步计数器,只要将低位计数器的Q CR 端连至高位计数器的允许端S 。而要把两级计数器连接为异步计数器,则低位计数器的Q CR 端应和高位计数器的CP 端相连.CO/BO 端可用来完成高速计数的先行进位。

3.74LS90(二—五—十进制计数器)

74LS90内部有一个二进制计数器,时钟A CP ,输出Q 0;一个五进制计数器,时钟B CP ,输出Q 3 Q 2 Q 1;可异步构成十进制计数器。它有两高电平有效的清零端R 0A 、R 0B 和两高电平有效的置9端S 9A 、S 9B ,其功能表如附表所示。

当计数脉冲由A CP 输入,Q 0与B CP 相连时,就构成8421BCD 计数器。当计数脉冲由B CP 输入,Q 3与A CP 相连时,则可构成5421 BCD 计数器。

R 0A R 0B S 9A S 9B

CP Q 3 Q 2 Q 1 Q 0 1 1 0 x x 0 0 0 0 1 1 x

0 x 0 0 0 0 x x 1 1 x 1 0 0 1 x 0 x 0 ↓ 计 数 0 x 0 x ↓ 计 数 0 x x 0 ↓ 计 数 x 0 0 x ↓ 计 数

74LS90功能表

CP R 0A NC V CC S 9A S 9B

R 0B

实验九计数、译码和显示电路设计

一.实验目的

1.熟悉计数器、译码器和显示器的使用方法。

2.学习简单数字电路的设计和仿真方法。

二.实验仪器

1.计算机一台。

2.电子电路设计仿真软件Multisim 2001

三.实验内容:

设计一个六十进制计数、译码和显示电路。

1.拟定设计方案,画出原理总框图

2.设计各单元电路(计数、译码和显示)。

3.画出六十进制计数、译码和显示总体电路原理图。

4.上机仿真调试

四.电路系统框图

计数、译码和显示电路系统组成:主要有计数单元、译码和显示电路单元三部分构成。系统框图如下:

五.预习要求:

1.D(或JK)触发器构成计数器的原理。

2.计数器、译码器和七段显示器的工作原理和应用。

六.设计总结报告:

总结报告包括以下内容:

1.实验名称、实验目的及要求。

2.设计思想及基本原理分析。

3.画出电路原理总框图及总体电路原理图。

4.单元电路分析。

5.仿真结果及调试过程中所遇到的故障分析。

6.电路元件清单。

七.参考元器件:

74LS74、74LS76、7448、7447、74LS49、74LS160、74LS190、74LS90、七段显示译码器

附录5 数字电路实验基础知识

一.实验的基本过程

实验的基本过程,应包括确定实验内容,选定最佳的实验方法和实验线路,拟出较好的实验步骤,合理选择仪器设备和元器件,进行连接安装和调试,最后写出完整的实验报告。

在进行数字电路实验时,充分掌握和正确利用集成元件及其构成的数字电路独有的特点和规律,可以收到事半功倍的效果,对于完成每一个实验,应做好实验预习,实验记录和实验报告等环节。

(一)实验预习

认真预习是做好实验的关键,预习好坏,不仅关系到实验能否顺利进行,而且直接影响实验效果,预习应按本教材的实验预习要求进行,在每次实验前首先要认真复习有关实验的基本原理,掌握有关器件使用方法,对如何着手实验做到心中有数,通过预习还应做好实验前的准备,写出一份预习报告,其内容包括:

1.绘出设计好的实验电路图,该图应该是逻辑图和连线图的混合,既便于连接线,又反映电路原理,并在图上标出器件型号、使

用的引脚号及元件数值,必要时还须用文字说明。

2.拟定实验方法和步骤。

3.拟好记录实验数据的表格和波形座标。

4.列出元器件单。

(二)实验记录

实验记录是实验过程中获得的第一手资料,测试过程中所测试的数据和波形必须和理论基本一致,所以记录必须清楚、合理、正确,若不正确,则要现场及时重复测试,找出原因。实验记录应包括如下内容:

1. 实验任务、名称及内容。

2. 实验数据和波形以及实验中出现的现象,从记录中应能初步判断

实验的正确性。

3.记录波形时,应注意输入、输出波形的时间相位关系,在座标中

上下对齐。

4. 实验中实际使用的仪器型号和编号以及元器件使用情况。

5. 实验报告

实验报告是培养学生科学实验的总结能力和分析思维能力的有效手段,也是一项重要的基本功训练,它能很好地巩固实验

成果,加深对基本理论的认识和理解,从而进一步扩大知识面。

实验报告是一份技术总结,要求文字简洁,内容清楚,图表工整。

报告内容应包括实验目的、实验内容和结果、实验使用仪器和元

器件以及分析讨论等,其中实验内容和结果是报告的主要部分,

它应包括实际完成的全部实验,并且要按实验任务逐个书写,每

个实验任务应有如下内容:

1) 实验课题的方框图、逻辑图(或测试电路)、状态图,真值表

以及文字说明等,对于设计性课题,还应有整个设计过程和关

键的设计技巧说明。

2) 实验记录和经过整理的数据、表格、曲线和波形图,其中表格、

曲线和波形图应利用三角板、曲线板等工具描绘,力求画得准

确,不得随手示意画出。

3) 实验结果分析、讨论及结论,对讨论的范围,没有严格要求,

一般应对重要的实验现象,结论加以讨论,以使进一步加深理

解,此外,对实验中的异常现象,可作一些简要说明,实验中

有何收获,可谈一些心得体会。

二. 实验中操作规范和常见故障检查方法

实验中操作的正确与否对实验结果影响甚大。因些,实验者需要注意按以下规程进行。

1. 搭接实验电路前,应对仪器设备进行必要的检查校准,对所用集

成电路进行功能测试。

2. 搭接电路时,应遵循正确的布线原则和操作步骤(即要按照先接

线后通电,做完后,先断电再拆线的步骤)。

3. 掌握科学的调试方法,有效地分析并检查故障,以确保电路工作

稳定可靠。

4. 仔细观察实验现象,完整准确地记录实验数据并与理论值进行比

较分析。

5. 实验完毕,经指导教师同意后,可关断电源拆除连线,整理好放

在实验箱内,并将实验台清理干净、摆放整洁。

布线原则和故障检查时实验操作的重要问题。

(一) 布线原则:

应便于检查,排除故障和更换器件。

在数字电路实验中,有错误布线引起的故障,常占很大比例。布线错误不仅会引起电路故障,严重时甚至会损坏器件,因此,注意布线的合理性和科学性是十分必要的,正确的布线原则大致有以下几点:

1. 接插集成电路时,先校准两排引脚,使之与实验底板上的插孔对

应,轻轻用力将电路插上,然后在确定引脚与插孔完全吻合后,再稍用力将其插紧,以免集成电路的引脚弯曲,折断或者接触不

良。

2. 不允许将集成电路方向插反,一般IC的方向是缺口(或标记)

朝左,引脚序号从左下方的第一个引脚开始,按逆时钟方向依次

递增至左上方的第一个引脚。

3. 导线应粗细适当,一般选取直径为0.6~0.8mm的单股导线,最

好采用各种色线以区别不同用途,如电源线用红色,地区用黑色

笔。

4. 布线应有秩序地进行,随意乱接容易造成漏接错接,较好的方法

是接好固定电平点,如电源线、地线、门电路闲置输入端、触发

器异步置位复位端等,其次,在按信号源的顺序从输入到输出依

次布线。

5. 连线应避免过长,避免从集成元件上方跨接,避免过多的重叠交

错,以利于布线、更换元器件以及故障检查和排除。

6. 当实验电路的规模较大时,应注意集成元器件的合理布局,以便

得到最佳布线,布线时,顺便对单个集成元件进行功能测试。这

是一种良好的习惯,实际上这样做不会增加布线工作量。

7. 应当指出,布线和调试工作是不能截然分开的,往往需要交替进

行,对大型实验元器件很多的,可将总电路按其功能划分为若干

相对独立的部分,逐个布线、调试(分调),然后将各部分连接

起来(联调)。

(二) 故障检查

实验中,如果电路不能完成预定的逻辑功能时,就称电路有故障,产生故障的原因大致可以归纳以下四个方面:

1. 操作不当(如布线错误等)

2. 设计不当(如电路出现险象等)

3. 元器件使用不当或功能不正常

4. 仪器(主要指数字电路实验箱)和集成元件本身出现故障。

因此,上述四点应作为检查故障的主要线索,以下介绍几种常见

的故障检查方法:

1) 查线法:

由于在实验中大部分故障都是由于布线错误引起的,因此,在故障发生时,复查电路连线为排除故障的有效方法。应着重注意:有无漏线、错线,导线与插孔接触是否可靠,集成电路是否插牢、集成电路是否插反等。

2) 观察法:

用万用表直接测量各集成块的V cc端是否加上电源电压;

输入信号,时钟脉冲等是否加到实验电路上,观察输出端有无

反应。重复测试观察故障现象,然后对某一故障状态,用万用

表测试各输入/输出端的直流电平,从而判断出是否是插座板、集成块引脚连接线等原因造成的故障。

3) 信号注入法

在电路的每一级输入端加上特定信号,观察该级输出响应,从而确定该级是否有故障,必要时可以切断周围连线,避

免相互影响。

4) 信号寻迹法

在电路的输入端加上特定信号,按照信号流向逐线检查是否有响应和是否正确,必要时可多次输入不同信号。

5) 替换法

对于多输入端器件,如有多余端则可调换另一输入端试用。必要时可更换器件,以检查器件功能不正常所引起的故障。

6) 动态逐线跟踪检查法

对于时序电路,可输入时钟信号按信号流向依次检查各级波形,直到找出故障点为止。

7) 断开反馈线检查法

对于含有反馈线的闭合电路,应该设法断开反馈线进行检查,或进行状态预置后再进行检查。

以上检查故障的方法,是指在仪器工作正常的前提下进行的,如果实验时电路功能测不出来,则应首先检查供电情况,若电源电压已加上,便可把有关输出端直接接到0—1显示器上检查,若逻辑开关无输出,或单次CP无输出,则是开关接触不好或是内部电路坏了,一般就是集成器件坏了。

需要强调指出,实验经验对于故障检查是大有帮助的,但只要充分预习,掌握基本理论和实验原理,就不难用逻辑思维的方法较

好地判断和排除故障。

三、数字集成电路概述、特点及使用须知

(一) 概述:

当今,数字电子电路几乎已完全集成化了。因此,充分掌握和正确使用数字集成电路,用以构成数字逻辑系统,就成为数字电子技术的核心内容之一。

集成电路按集成度可分为小规模、中规模、大规模和超大规模等。

小规模集成电路(SSI)是在一块硅片上制成约1~10个门,通常为逻辑单元电路,如逻辑门、触发器等。中规模集成电路(MSI)的集成度约为10~100门/片,通常是逻辑功能电路,如译码器、数据选择器、计数器、寄存器等。大规模集成电路(LSI)的集成度约为100门/片以上,超大规模(VLSI)约为1000门/片以上,通常是一个小的数字逻辑系统。现已制成规模更大的极大规模集成电路。

数字集成电路还可分为双极型电路和单极型电路两种。双极型电路中有代表性的是TTL电路;单极型电路中有代表性的是CMOS电路。国产TTL集成电路的标准系列为CT54/74系列或CT0000系列,其功能和外引线排列与国际54/74系列相同。国产CMOS集成电路主要为CC(CH)4000系列,其功能和外引线排列与国际CD4000系列相对应。高速CMOS系列中,74HC和74HCT系列与TTL74系列相对应,74HC4000系列与CC4000系列相对应。

部分数字集成电路的逻辑表达式、外引线排列图列于附录中。逻辑表达式或功能表描述了集成电路的功能以及输出与输入之间的逻辑关系。为了正确使用集成电路,应该对它们进行认真研究,深入理解,充分掌握。还应对使能端的功能和连接方法给以充分的注意。

必须正确了解集成电路参数的意义和数值,并按规定使用。特别是必须严格遵守极限参数的限定,因为即使瞬间超出,也会使器件遭受损坏。下面具体说明集成电路的特点和使用须知。

(二) TTL器件的特点:

1.输入端一般有钳位二极管,减少了反射干扰的影响;

2.输出电阻低,增强了带容性负载的能力;

3.有较大的噪声容限;

4.采用+5V的电源供电。

为了正常发挥器件的功能,应使器件在推荐的条件下工作,对CT0000系列(74LS系列)器件,主要有:

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

最新数字逻辑电路指导书合集

实验一 门电路逻辑功能及测试 一.实验目的 1.熟悉门电路逻辑功能 2.熟悉数字电路学习机使用方法 二.实验仪器及材料 1.DVCC-D2JH 通用数字电路实验箱 2.器件 74LS00 二输入端四与非门 1片 74LS08 二输入端四与门 1片 74LS86 二输入端四异或门 1片 74LS32 二输入端四或门 1片 2、按附录中引脚图接线,分别验证或门74LS32、与门74LS08、异或门74LS86的逻辑功能 3、信号对门的控制作用 利用与非门控制输出.

用一片74LS00按图接线, S接任一电平开关,用发光二极管观察 S对输出脉冲的控制作用. 四.实验报告 1.按各步聚要求填表。 2.回答问题: (1)怎样判断门电路逻辑功能是否正常? (2)与非门一端输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 实验二组合逻辑电路(半加器、全加器及逻辑运算) 一、实验目的 1、掌握组合逻辑电路的功能测试 2、验证半加器和全加器的逻辑功能 二、实验器件 74LS00 二输入端四与非门1片 74LS86 二输入端四异或门1片 74LS32 二输入端四或门1片 74LS08 二输入端四与门1片 三、实验内容 1、测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或, 而进位Z是A、B相与。故半加器可用一个 集成异或门和二个与非门组成如右图 (1)在学习机上用异或门和与门接成以上电路。 A、B接电平开关Y、Z接电平显示。 (2)按下表要求改变A、B状态,填表

2、测试全加器的逻辑功能。 (1)按右图接线,A 、B 、C 接电平开关, SO 、C 接发光二极管 (2)按下表要求改变A 、B 、C 状态,填表 四、实验报告 (1)按要求填表 (2)分析如何使用适当的门电路实现半加器与全加器的功能 实验三 译码器、数据选择器和总线驱动器

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

数字电路实验指导书

第一章单元实验 实验一逻辑门电路的研究 一、实验目的: 1.分析“门”的逻辑功能。 2.分析“门”的控制功能。 3.熟悉门电路的逻辑交换及其功能的测试方法。 二、实验使用仪器和器件: 1.数字逻辑电路学习机一台。 2.万用表一块。 三、实验内容和步骤: 1.TTL集成门逻辑功能的测试: ⑴“与非门”逻辑功能的测试: 在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。 表1 ⑵用“与或非”门实现Z=AB+C的逻辑功能: 在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2 注意:测试前应将与或非门不用的与门组做适当处理。 2.“门”控制功能的测试: ⑴“与非”门控制功能的测试: 按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。 图1 “与非门”控制功能测试电路 表3 ⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:Z=A+B “与”门:Z=AB “或非”门:Z=A+B “与或”门:Z=AB+CD 要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。 四、预习要求: 要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。 五、实验报告要求: 总结“与非”、“与”、“或”、“或非”门的控制功能。 六、思考题: 1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理? 2.与或非门不用的与门组如何处理?

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

数字电路全部实验

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25) 班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇

实验一 门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL 逻辑门电路的参数意义。 2.掌握各种TTL 门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD —4数字电路实验箱,数字双踪示波器,函数信号发射器, 74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下:

12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下: 1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

数字电路实验报告

数字电路实验报告 班级:1403011 学号: 姓名:于梦鸽地点:EII-310 时间:第五批

实验一基本逻辑门电路实验 (一)实验目的 1.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 (二)实验所用器件 二输入四与非门74LS00 1片 (三)实验容 1、测试74LS00逻辑关系接线图 输 入输 出 引脚1引脚3 引脚2 K1 K2 23 LED0 L L L L H H H H 图1.1 测试74LS00逻辑关系接线图表1.1 74LS00真值表 2.用3个三输入端与非门IC芯片74LS10安装如图所示的电路 从实验台上的时钟脉冲输出端口选择两个不同频率(约 7khz和 14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 (四)实验数据结果 1、测试74LS00的逻辑关系 ?逻辑关系连接图

? 真值表 2.测试74LS86的逻辑关系 ? 逻辑关系连接图 ? 真值表 3.测试74LS10的逻辑关系 ? 真值表 输 入 输出 引脚1 引脚2 引脚3 L L H L H H H L H H H L 输 入 输出 引脚1 引脚2 引脚3 L L H L H L H L L H H H S B Y L L 0 L H 0 H L X1 H H X0 LED K1 K2 LED K1 K2

实验二组合逻辑电路部件实验 (一)实验目的: 掌握逻辑电路设计的基本方法 掌握EDA工具MAX-PlusII的原理图输入方法 掌握MAX-PlusII的逻辑电路编译、波形仿真的方法 (二)实验容 1.逻辑单元电路的波形仿真 利用EDA工具的原理图输入法,分别输入74138图元符号;建立74138的仿真波形文件,并进行波形仿真,记录波形;分析74138逻辑关系。 3-8译码器74138的波形仿真 实验数据及结果 2.设计并实现一个3位二进制全加器 输入输出 E A1 A2 Q0 Q1 Q2 Q3 1 ΦΦ 1 1 1 1 0 0 0 1 1 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 E为允许使能输入线,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出。

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电子技术实验练习内容

数字电子技术实验练习内容 实验二TTL与非门的应用 一、实验内容 1.用五个二输入与非门设计一个半加器。 2.用二输入与非门设计一个三开关控制同一灯泡电路,要求三个开关能够独立控制灯泡的亮灭。 3.用一个四输入与非门与三个二输入与非门设计一个电路,实现函数 ∑ ,9,8,7,6,5,4( 10 , ) ABCD (m F。要求只有原变量输入、没有反变量输 , 14 =) 11 , 13 , 12 入。 4.用九个二输入与非门设计一个一位全加器。 二、思考题 1.TTL门电路的闲置输入端应如何处理? 2.写出影响TTL与非门扇出系数的两个重要参数的概念。 3.TTL门电路的电压传输特点就是什么? 实验三CMOS与非门的应用 一、实验内容 1.用CD4011与非门设计一个同或门电路与一个异或门电路。 2.利用一块CD4011设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关熄灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关熄灭电灯。 3.密码锁共有三个按钮,当三个按钮未按下或第一个按钮单独按下时,锁既不打开也不报警;只有当三个按钮同时按下、或者第一个第二个按钮同时按下、或者第一个第三个按钮同时按下时,锁才能被打开,当按下按钮不属于上述状况时,将发出报警信息。要求:用两块CD4011设计逻辑电路,使用的与非门数量最少,以达到最佳设计方案。 二、思考题 1.CMOS集成电路或门、或非门的闲置输入端如何处理? 2.CMOS集成电路的电压传输特性有什么特点? 3.CMOS集成与非门、与门的闲置输入端如何处理? 实验五组合逻辑电路的设计 一、实验内容 1.用74LS86与74LS00设计四开关控制同一灯泡电路,要求四个开关能够独立控制灯泡的亮灭。 2.用74LS86、CD4081、CD4071设计一个一位全加器电路。 3.用异或门、与门设计一个半加器电路。 4.用异或门与与非门设计一个一位全加器电路。 二、思考题 1.74LS54与或非门的闲置端如何处理?

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

东南大学数字电路实验考试——教务考试监考装置

数字电路期末考题二 一、教务处需要一考试监考装置:设定每场考试为2小时,假设一个时钟周期是10分钟,用两个数码管分别显示分钟的十位和小时的个位。当到半小时的时候,红灯亮持续10分钟后灭,提醒监考老师没来的考生不得入场,在场的考生可以交卷离开。当到1小时50分时,黄灯亮持续10分钟后灭,提醒监考老师考试时间将到,准备收卷。 要求: 1.简单写出设计过程,画出逻辑电路图(30分) 2.根据设计搭试电路(15分) 3.用单脉冲验证电路(由老师检查)(25分) 4.用双踪示波器或者逻辑分析仪观察并分别绘出输入时钟和分钟十位输出时的Q m2、Q m1、Q m0输出波形。(10分) 二、简答 几个三态门的输出端是否允许短接?有无条件限制,应注意什么问题? OC门的输出端是否允许短接,结果是什么?(20分) 页脚内容1

数字电路期末考题四(答案及评分标准) 1.简单写出设计过程,画出逻辑电路图(30分) 由题意,设时钟脉冲的周期为10分钟,则分钟部分可设计成模6计数器,整个监考装置是模12计数器,其功能见下表 页脚内容2

80001000100 90001001000 100001001100 110001010000 120001010101 130000000000逻辑电路图: 页脚内容3

评分:a、设计过程15分 b、逻辑电路图15分 2.电路接线符合基本规范,电源连接正确(15分); 3.用单脉冲验证电路(由老师检查)(25分) 4.波形记录符合规范(波形应注意相位对齐,并至少画满一个周期,方波的边沿一定要画出):波形描述正确且相位对齐8分(每个波形2分)方波边沿画出2分 CLK Qm2 Qm1 Qm0 二、简答题: 几个三态门的输出端允许短接,但有条件限制,不能同时有两个或两个以上三态门的控制端处于使能状态。(10分) OC门的输出端允许短接,但要在输出端接一个合适的上拉电阻和电源才能正常工作,结果是将各个OC门的输出相与。(10分) 页脚内容4

相关文档
最新文档