交通灯控制课程设计报告

交通灯控制课程设计报告
交通灯控制课程设计报告

电子与通信工程学院

单片机原理课程设计报告

2016 ~ 2017 学年第2学期

交通灯控制系统的设计与制作

专业:电子信息科学与技术

班级:电信141班

学号: 201408401144

姓名:梁瑞

指导教师姓名:谢春榕

2017 年 9 月 10 日

目录

1. 前言 (1)

1.1设计任务 (1)

1.2方案设计 (1)

1.3设计内容 (2)

1.4交通灯设计的意义 (2)

2. 硬件设计 (2)

2.1 51单片机 (2)

2.2电源电路 (2)

2.3 晶振电路 (2)

2.4 复位电路 (3)

2.5 LCD12864液晶显示模块 (3)

2.6 LED红绿灯显示模块 (4)

2.7总体电路设计 (4)

3. 软件设计 (5)

3.1 AT89S52芯片 (5)

3.2 C51编程 (5)

3.3 程序流程图 (5)

4. 测试结果和分析 (6)

5. 总结和体会 (6)

【参考文献】 (7)

【附录1】 (8)

课程设计报告

【课题名称】交通灯控制系统的设计与制作

【摘要】本系统采用单片机AT89S52为中心器件来设计交通灯控制器,由单片机I/O 口扩展系统、交通灯状态显示系统、LCD12864液晶显示系统、复位电路等几大部分组成。本设计除基本的交通灯功能外,还具有倒计时等功能,即通过单片机模拟十字路口交通灯的各种状态显示以及倒计时时间,车道每次通行时间都设为20秒。当出现紧急情况时,单片机的中断源INT0控制四个路口全部显示红灯,中断源INT1控制夜间模式(全黄灯闪烁),一段时间后恢复原来状态。系统实用性强、操作简单、扩展性强。

【关键词】AT89S52单片机交通灯信号灯倒计时道路通行

1.前言

当今世界正处于一个自动化时代,交通灯控制等很多行业的设备都需要计算机来控制。信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。

1.1设计任务

模拟十字路口交通灯具有三色红绿灯和倒计时显示的基本功能,还具有紧急车辆(全红灯显示)和夜间模式(全黄灯闪烁)附加功能。

1.2方案设计

单片机采用AT89S52芯片,,在十字路口的四组红、黄、绿交通灯及转向灯中,由单片机P0口管脚分别控制,由于交通灯为发光二极管且阳极通过限流电阻和电源正极连接,因此I/O口输出低电平时,与之相连的灯会亮,并通过12864液晶屏显示时间倒计时。南北方向车道和东西方向车道两条交叉道路上的车辆交替通行,采用定时器中断方式实现转换时间倒计时,车道每次通行时间都设为20秒。在绿灯转为红灯时,黄灯先闪亮3秒钟,才能变换运行车道,交通灯状态用LCD12864液晶屏显示。系统不但具有三色红绿灯和倒计时显示的基本功能,还具有紧急车辆(全红灯显示)和夜间模式(全黄灯闪烁)

1.3设计内容

(1)设计并绘制硬件电路图

(2)制作PCB并焊接好元器件

(3)检测51单片机的运行情况

(4)编写程序并将调试好的程序固化到单片机

1.4交通灯设计的意义

交通灯是城市经济活动的命脉,对城市经济发展、人们生活水平的提高起着十分重要的作用。城市交通问题是困扰城市发展、制约经济发展的重要因素。城市道路增长的有限与车辆增加的无限这一对矛盾事故导致城市交通拥挤的根本原因。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。

2. 硬件设计

2.1 51单片机

单片机又称单片微控制器,51单片机[1]主要由电源电路、时钟电路、复位电路以及LCD12864液晶显示模块等外围模块组成。详细电路原理图、PCB图及其实物图见附录。

2.2电源电路

电源电路部分主要由电源头、稳压二极管、电阻以及指示灯LED组成。除了电脑供电单片机外,还可以通过电源头外接5V直流电压供电单片机。电源电路的原理图如图2.2-1所示:

图2.2-1 电源电路原理图

2.3 晶振电路

晶振电路主要由晶振11.0592MHz以及周围的两个30pF的瓷片电容构成。晶振起振给单片机提供时钟频率,再通过单片机控制定时器中断以达计时功能。晶振电路的原理图如图2.3-1所示:

图2.3-1 晶振电路原理图

2.4 复位电路

复位方式有多种,本设计采用按键复位。在设定的时间内,89S52必须在RST脚产生一个由高到低的电平变化,以清内部定时器。复位电路的原理图如图2.4-1所示:

如图2.4-1 复位电路原理图

2.5 LCD12864液晶显示模块

本系统采用LCD12864液晶屏显示东西道和南北道的倒计时的时间状况。LCD12864液晶显示模块的电路原理图如图2.5-1所示:

图2.5-1 LCD12864液晶显示模块电路原理图

2.6 LED红绿灯显示模块

LED红绿灯显示模块主要由1K电阻和红、绿、黄三种颜色的LED灯设计而成,具体电路原理如图2.6-1所示:

图2.6-1 LED红绿灯显示模块电路原理图

2.7总体电路设计

本系统以单片机[2]为核心,由电源电路、复位电路、晶振电路、LED数码管显示模块以及LCD12864液晶显示模块组成。总体电路结构框图如图2.7-1所示:

3.软件设计

3.1 AT89S52芯片

AT89S52 是一种低功耗、高性能CMOS8位微控制器[3],具有8K 在系统可编程Flash 存储器。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。 AT89S52内具三个16 位定时器/计数器,一个6向量2级中断结构,片内晶振及时钟电路。其DIP封装脚图如图3.1-1所示:

图3.1-1 DIP封装脚图

3.2 C51编程

软件上采用C51编程[4],主要编写了主程序,LCD12864液晶显示程序,定时器T0、T1中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟,且增加了附加功能:具有紧急车辆(全红灯显示)和夜间模式(全黄灯闪烁)。

3.3 程序流程图

详细流程图如图3.2-1所示:

图3.3-1 程序流程图

4.测试结果和分析

本系统经过软件仿真,实物制作[5],以及程序下载并调试后,已完成设计任务,实现了对十字路口交通灯的模拟。当出现紧急情况时,单片机的中断源INT0控制四个路口全部显示红灯,中断源INT1控制夜间模式(全黄灯闪烁),一段时间后恢复原来状态。

5.总结和体会

通过学习单片机这门课程设计,不仅了解了AT89S52的功能、还加强了单片机的理论知识得了解,而且进一步接触并了解到了软硬件的结合这个实践问题。不仅如此,此次设计也加强了我们动手培养了我的动手能力及分析思考和解决问题的能力,更令我的创造性思维得到拓展。不过,在本系统的设计过程中,我遇到了以下四点问题:1.此设计开始之际,经过同学的指导及自己查阅相关的资料,逐渐制定出了系统的

2.程序编写是耗时最长,也是最关键,同时又比较难的一项工作,程序的精密程度涉及到整个系统工作的稳定指数。因此,我首先编写总体框架,然后做简单的测试,保证能正常运行,接下来编写单个的子状态程序,写完一个测试一个,这样保证了最后调试的成功率。

3.实物做出来,接下来的工作便是检测硬件是否正常,确保硬件没有问题后,下载程序至单片机,并试着实物调试。在这整个调试过程中,花费的时间是最长的,遇到了各种各样的问题,到底还是因为理论知识不够充实,调试经验不足而导致处处碰壁。

【参考文献】

[1]陈铁军,余旺新.《单片机原理与应用技术》西南交通大学出版社

[2]楼然苗,李光飞.《单片机课程设计指导》北京航空航天大学出版社

[3]蔡朝洋.《单片机控制实习与专题制作》北京航空航天大学出版社

[4]谢维等.《单片机原理与应用及C51程序设计》清华大学出版社,2007.2

[5] 楼然苗,李光飞 .《51系列单片机设计实例》(第2版) 北京航空航天大学出版社 2006.2

【附录1】

图1 单片机原理图

图2 单片机PCB图

图3 系统实物图

【附录2】

系统程序:

/*****头文件*****/

#include

#include"12864.h"

/*****宏定义*****/

#define uchar unsigned char

#define uint unsigned int

#define ON 0

#define OFF 1

#define LED P0

sbit green1=P0^0;//南北道绿灯

sbit yellow1=P0^1;//南北道黄灯sbit red1=P0^2;//南北道红灯

sbit green2=P0^3;////东西道绿灯sbit yellow2=P0^4;//东西道黄灯sbit red2=P0^5;//东西道红灯

/*****定义变量*****/

uchar num,num1,num2;

uint t0,t1;

uchar n1,n2;

/*****函数定义*****/

void Led_init();

void num_display(uint m);

void ExInt0_Init();

void Red_SS(uint x);

//主函数

void main()

{

LCD_Init();//12864液晶初始化

LCD_display();//数据显示

Led_init();//三色灯初始化函数

while(1)

{

Set_XY(2,6); //东西道

num_display(num1);

Write_XY_String(2,7,"s");

Set_XY(4,6); //南北道

num_display(num);

Write_XY_String(4,7,"s");

void Led_init()

{

green1=ON;//南北道绿灯亮

yellow1=OFF;

red1=OFF;

green2=OFF;

yellow2=OFF;

red2=ON;//东西道红灯亮

num=20; //设定时间30秒

num1=23;

TMOD=0X11;

TH0=(65536-45872)/256;

TL0=(65536-45872)%256;

TH1=(65536-45872)/256;

TL1=(65536-45872)%256;

IE=0X8F;

IP=0x05;

IT0=1;

IT1=1;

TR0=1;

TR1=1;

}

void num_display(uint m)

{

write_dat(0x30+m/10); //显示十位write_dat(0x30+m%10); //显示个位}

//有紧急车辆全红灯模式函数

void Red_SS(uint x)

{

uchar i,j;

for(i=0;i

{

red1=0;

red2=0;

green1=1;

green2=1;

yellow1=1;

yellow2=1;

}

//夜间全黄灯模式函数

void Yellow_SS(uint x)

{

uchar i,j;

for(i=0;i

{

yellow1=~yellow1;

yellow2=~yellow2;

red1=1;

red2=1;

green1=1;

green2=1;

}

}

//有紧急车辆全红灯模式中断处理函数

void ExInt0_ISR()interrupt 0

{

uchar Save_LED;

EA=0;

Save_LED=LED;

EA=1;

Red_SS(10);//全红函数模式

EA=0;

LED=Save_LED;

EA=1;

}

//夜间全黄灯闪烁中断处理函数

void ExInt1_ISR()interrupt 2

{

uchar Save_LED;

EA=0;

Save_LED=LED;

EA=1;

Yellow_SS(10);//全黄灯模式函数

EA=0;

LED=Save_LED;

EA=1;

}

void timer0() interrupt 1 //南北道灯倒计时处理{

TH0=(65536-45872)/256;

TL0=(65536-45872)%256;

t0++;

if(t0<=400) //东西道的绿灯亮

{

num--;

if(num==0) //绿灯结束,开黄灯

{

num=3;

green1=OFF;

yellow1=ON;

red1=OFF;

}

}

if(t0>400&&t0<=460)

{

num--;

if(num==0)//黄灯结束,开红灯

{

num=23;

green1=OFF;

yellow1=OFF;

red1=ON;

}

}

if(t0>460&&t0<=920)

{

num--; //红灯结束,开绿灯

if(num==0)

{

num=20;

green1=ON;

yellow1=OFF;

red1=OFF;

}

}

if(t0==920)

t0=0;

}

}

void timer1() interrupt 3 //东西道灯倒计时处理{

TH1=(65536-45872)/256;

TL1=(65536-45872)%256;

t1++;

if(t1%20==0) //1s的时间到了

{

num1--;

if(num1==0) //东西道的绿灯亮

{

num1=20;

green2=ON;

yellow2=OFF;

red2=OFF;

}

}

if(t1>460&&t1<=860)

{

num1--;

if(num1==0)//开黄灯,关绿灯

{

num1=3;

green2=OFF;

yellow2=ON;

red2=OFF;

}

}

if(t1>860&&t1<=920)

{

num1--; // 开红灯,关黄灯

if(num1==0)

{

num1=23;

green2=OFF;

yellow2=OFF;

red2=ON;

}

}

if(t1==920)

t1=0;

}

}

/******************************************************************************* *

12864.h文件

*

*******************************************************************************/ #define uchar unsigned char

#define uint unsigned int

#define ulong unsigned long

/*12864串行端口定义*/

sbit lcdrs=P1^0; //片选高电平有效单片LCD使用时可固定高电平

sbit lcdrw=P1^1; //数据

sbit lcden=P1^2; //时钟

sbit PSB=P1^3; //低电平串口驱动,高电平并口驱动

/****************************************/

/*名称:delayms */

/*说明:毫秒级延时*/

/*功能:延时*/

/*调用:无*/

/*输入:uint z */

/*返回值:无*/

/****************************************/

void delayms(uint z)

{ uint x,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

/****************************************/

/*名称:write_com */

/*说明:无*/

/*功能:向液晶写入一个字节地址(8位)*/

/*调用:无*/

/*输入:8位数据*/

/*返回值:无*/

/****************************************/

void write_com(uchar com_data)

{

uchar i;

uchar i_data;

lcdrs=1;

lcden=0;

/************写指令操作****************/

i_data=0xf8;

for(i=8;i>0;i--)

{

lcdrw=(bit)(i_data&0x80); //强制转化成位,取出最高位赋给SID lcden=0;

lcden=1;

i_data=i_data<<1; //每一位从高到低赋给SCLK }

/******发送第二个字节(com_data的高4位)***/

{

lcdrw=(bit)(i_data&0x80); //强制转化成位,取出最高位赋给SID lcden=0;

lcden=1;

i_data=i_data<<1; //每一位从高到低赋给SCLK }

/******发送第三个字节(com_data的低四位)*/

i_data=com_data;

i_data<<=4;

for(i=8;i>0;i--)

{

lcdrw=(bit)(i_data&0x80); //强制转化成位,取出最高位赋给SID lcden=0;

lcden=1;

i_data=i_data<<1; //每一位从高到低赋给SCLK }

lcdrs=0;

delayms(10);

}

/****************************************/

/*名称:write_data */

/*说明:无*/

/*功能:向液晶写入一个字节数据(8位)*/

/*调用:无*/

/*输入:8位数据dat */

/*返回值:无*/

/****************************************/

void write_dat(uchar com_data)

{

uchar i;

uchar i_data;

lcdrs=1;

lcden=0;

/***********写数据操作************/

i_data=0xfa;

for(i=8;i>0;i--)

{

lcdrw=(bit)(i_data&0x80); //强制转化成位,取出最高位赋给SID lcden=0;

lcden=1;

i_data=i_data<<1; //每一位从高到低赋给SCLK }

/************发送第二个字节(com_data的高4位)************/

{

lcdrw=(bit)(i_data&0x80); //强制转化成位,取出最高位赋给SID lcden=0;

lcden=1;

i_data=i_data<<1; //每一位从高到低赋给SCLK }

/************发送第三个字节(com_data的低四位)************/

i_data=com_data;

i_data<<=4;

for(i=8;i>0;i--)

{

lcdrw=(bit)(i_data&0x80); //强制转化成位,取出最高位赋给SID lcden=0;

lcden=1;

i_data=i_data<<1; //每一位从高到低赋给SCLK }

lcdrs=0;

delayms(10);

}

/*******************************************************************************

**函数名:void Set_XY(uchar X,uchar Y)

**功能:设置X,Y的坐标

**参数:uchar X,uchar Y

**返回值:无

*******************************************************************************/

void Set_XY(uchar X, uchar Y)

{

switch(X)

{

case 1:

write_com(0x80 + Y); //第一行,第X字

break;

case 2:

write_com(0x90 + Y); //第二行,第X字

break;

case 3:

write_com(0x88 + Y); //第三行,第X字

break;

case 4:

write_com(0x98 + Y); //第四行,第X字

}

}

/*******************************************************************************

函数名:Write_XY_data(uchar X, uchar Y, uchar data)

功能:在X,Y处写数据

参数:uchar X, uchar Y, uchar data

返回值:无

*******************************************************************************/

void Write_XY_dat(uchar X, uchar Y, uchar dat) //第一种、要显示数据的位置和数据

{

Set_XY(X,Y); //写坐标X、Y地址

write_dat(dat);//写数据data

}

/*******************************************************************************

**函数名:void Write_XY_String(uchar X,uchar Y,uchar *str)

**功能:写字符串

**参数:uchar X,uchar Y,uchar *str

**返回值:无

*******************************************************************************/

void Write_XY_String(uchar X,uchar Y,uchar *str)

{

uchar temp;

Set_XY(X,Y);

temp=*str;

while(temp!=0)

{

write_dat(temp);

temp=*(++str);

}

}

/*******************************************************************************

函数名:LCD_display()

功能:数据显示

参数:无

返回值:无

*******************************************************************************/

void LCD_display()//数据显示

{

Write_XY_String(1,0,"十字路口交通灯");//第一行显示aaa的数据

delayms(1);

Write_XY_String(2,0,"南北方向:");//第二行第1个位置显示数据"这是第一行的!"

微机原理课程设计报告交通灯

WORD格式微机原理课程设计 设计题目交通灯的设计 实验课程名称微机原理 姓名王培培 学号080309069 专业09自动化班级2 指导教师张朝龙 开课学期2011至2012学年上学期

一、实验设计方案 实验名称:交通灯的设计实验时间:2011/12/23 小组合作:是□否?小组成员:无 1、实验目的: 分析实际的十字路口交通灯的亮灭过程,用实验箱上的8255实现交通灯的控制。(红,黄,绿三色灯) 2、实验设备及材料: 微机原理和接口技术实验室的实验箱和电脑设备等。 3、理论依据: 此设计是通过并行接口芯片8255A和8086计算机的硬件连接,以及通过8253延时的方法,来实现十字路口交通灯的模拟控制。 如硬件连接图所示(在后),红灯(RLED),黄灯(YLEDD)和绿灯(GLED)分别接在8255 的A,B,C口的低四位端口,PA0,PA1,PA2,PA3分别接1,2,3,4(南东北西)路口的红灯,B,C口类推。8086工作在最小模式,低八位端口AD0~AD7接到8255和8253的D0~D7,AD8~AD15通过地址锁存器8282,接到三八译码器,译码后分别连到8255和8253的CS片选端。8253的 三个门控端接+5V,CLOCK0接由分频器产生的1MHZ的时钟脉冲,OUT0接到CLOCK1和CLOCK,2 OUT1接到8086的AD18,8086通过检测此端口是否有高电平来判断是否30s定时到。OUT2产生 1MHZ方波通过或门和8255的B口共同控制黄灯的闪烁。8255三个口全部工作在方式0既基本 输入输出方式,红绿灯的转换由软件编程实现。

4、实验方法步骤及注意事项: ○1设计思路 红,黄,绿灯可分别接在8255的A口,B口和C口上,灯的亮灭可直接由8086输出0,1 控制。 设8253各口地址分别为:设8253基地址即通道0地址为04A0H,通道1为04A2H,通道2 为04A4H,命令控制口为04A6H。 黄灯闪烁的频率为1HZ,所以想到由8253产生一个1HZ的方波,8255控制或门打开的时 间,在或门打开的时间内,8253将方波信号输入或门使黄灯闪烁。 由于计数值最大为65535,1MHZ/65536的值远大于2HZ,所以采用两个计数器级联的方 式,8253通道0的clock0输入由分频器产生的1MHZ时钟脉冲,工作在方式3即方波发生器方 式,理论设计输出周期为0.01s的方波。1MHZ的时钟脉冲其重复周期为T=1/1MHZ=1s,因此 通道0的计数初值为10000=2710H。由此方波分别作为clock1和clock2的输入时钟脉冲,所以 通道1和通道2的输入时钟频率为100HZ,通道1作计数器工作在方式1,计数初值3000=BB8H 既30s,计数到则输出一个高电平到8255的PA7口,8255将A口数据输入到8086,8086检测 到高电平既完成30s定时。通道2工作在方式3需输出一个1HZ的方波,通过一个或门和8086 共同控制黄灯的闪烁,因此也是工作在方波发生器方式,其计数初值为100=64H,将黄灯的状态 反馈到8055的端口PB7和PC7,同样输入到8086,8086通过两次检测端口状态可知黄灯的状态 变化,计9次状态变化可完成5次闪烁。 三个通道的门控信号都未用,均接+5V即可。 ○ 2硬件原理及电路图 由于8255A与8086CPU是以低八位数据线相连接的,所以应该是8255A的A1、A 0 线分别与 8086CPU的A2、A线相连,而将8086的 1 A 0 线作为选通信号。如果是按8255A内部地址来看, 则在图中它的地址是PA口地址即(CS+000H),PB口地址为(CS+001H),PC口地址为(CS+002H),

交通灯控制器课程设计报告

中南林业科技大学 课程设计报告 设计名称:交通灯控制器 姓名: 学号: 专业班级: 院(系): 一、课程设计题目:交通灯控制器 时间:2015年6月29日至7月13日 地点: 指导老师: 二、课程设计目的

交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下: 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮. 三、 课程设计方案 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 四、 课程设计原理 脉冲信号发生器由定时器555构成。 二进制加法计数器由七位二进制加法计数器4024构成。 555脉冲 振荡器 4024 计数器 组合逻辑电路 发光二极管电路 193 计数器 4511 驱动器 数码管

十进制减法计数器由74LS193可逆可预置十进制计数器构成。 组合逻辑电路根据其输入输出的逻辑关系后再确定电路芯片。 驱动器选用4511。 从以上讨论可知,需要对所采用的芯片有比较详细的了解。下 面对以上几种芯片的基本知识和基本特性进行介绍。 1、555定时器 555定时器是一块常用的集成电路,电路符号如左图所示,8为电源端VCC,1为公共端GND。所加电源电压范围:4.5V

交通灯设计报告

设计要求 (1)在十字路口的两个方向上各设一组红灯、绿灯、黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、黄灯、绿灯。 (2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别为20s、5s、25s。 (3)当各条路中任意一条上出现特殊情况,例如有消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 设计原理及框图 交通灯控制系统的原理框图如图1所示,它主要由秒脉冲发生器,时间显示器,倒计时计数器,计数控制器,交通灯控制器,交通显示灯,紧急开关构成。秒脉冲发生器是该系统中定时器的标准时钟信号源,同时控制着正常工作时黄灯与特殊情况下数码管数字的闪烁,倒计时计数器控制器控制倒计时计数器,倒计时计数器输出的数字经过时间显示器显示在数码管上。交通控制器控制交通显示灯的亮灭,交通控制灯的输入信号由紧急开关和倒计时计数器共同提供。 图一:交通灯控制系统的原理框图

状态1 甲车道黄灯亮 乙车道红灯亮 OO O 两方向车道的交通灯的运行状态共有 4种,如图2所 示,它们转换到数子状 态如下图。 TF:表示甲车道或乙车道红灯亮的时间间隔为 25秒,当TF=0时,甲车道为 红灯,25秒倒计时;当TF=1时,乙车道为红灯,25秒倒计时。 TS:表示倒计时到5秒和20秒。TY=0倒计时20秒,否则,TY=1倒计时5秒 一般十字路口的交通灯控制系统的工作过程如下: (1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车 道禁止 通行。此时TF=O,TS=0绿灯亮足规定的时间隔20s ,倒计时计数器发出状态转 换信号使TS=1,使计数控制器使TS=1转到下一工作状态。 (2) 甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止 通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔 5s 时,倒计时计数器发出状态转换信号使 TF=1, TS=O,使控制器控制译码器 转到下一工作状态。 (3) 甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车 辆允许通行,绿灯亮足规定的时间间隔 20s 时,倒计时计数器发出状态转换信 号使TS=1,使控制器控制译码器转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通 行。黄灯亮足规定的时间间隔5s 时,倒计时计数器发出状态转换信号使 TF=0,TS=0,使计数控制器转到下一工作状态,即系统又转换到第(1)种工作 状态。 因为在上述转换过程中灯的转换只在计数器为零的时候发生且不存在竞争 冒险的问题,所以可设计为当计数器为 00时即发生信号灯的转换,当信号灯 甲车道绿灯亮 乙车道红灯亮 000 以态2 甲车道红灯亮 乙车道碌灯亮 2- 图 ? 00 r 状态3 甲车直红*1亮 乙车道就侯 TS=O TS=1 TF=0 T 状态0 状态1 状态2 状态3

基于单片机交通灯课程设计报告书

三峡职业学院 课程设计 课题名称交通灯控制系统设计 交通灯控制系统设计 摘要:本系统由单片机最小系统、按键(开关)、LED 显示等等组成交通灯演示系统。系统用红、黄、绿三个发光二极管模拟交通灯的红灯、黄灯、绿灯的功能。系统除基本交通灯功能外,还具有倒计时(15秒)、时间设置、紧急情况(按键模拟传感器)处理等功能。 关键词:AT89C51,交通规则 引言:随着日新月异的电子变革,电子产品发生了突飞猛进的巨变,而在其中AT89C51扮演着一个重要的角色,AT89C51单片机具有广泛性、工具性、基础性的几个特点。单片机应成为中等技术人员的重要技术知识层面。近年来,我国工程技术队伍的梯队建设有了很大的进展。各类高职、高专如雨后春笋,涉电专业普遍开设单片机类课程。直观性表现在尽可能让我们在学习基本原理时能直观地看到相关实物及实物表演,使基本原理能实现形象化的表达;实践性表现在我们要通过许多实际操作来理解与掌握单片机的本质与技能;综合性表现在最终能使我们达到运用知识与技能来完成一个应用系统开发的全过程,有助于大学生动手能力的培养和提高,课程设计就是一门应用性很强的课程。如何让我们在学好基础知识的同时,迅速掌握设计应用技术,其中,实验与课程设计环节起着非常重要的作用。对我们学习和掌握单片机设计技术起到积极的作用。 一、方案比较、设计与论证

(1) 电源提供方案 为使模块稳定工作,须有可靠电源。我们考虑了两种电源方案 方案一:采用独立的稳压电源。此方案的优点是稳定可靠,且有各种成熟电路可供选用;缺点是各模块都采用独立电源,会使系统线路变复杂,且可能影响系统各模块的电路电平。 方案二:采用干电池提供电源。该方案的优点是系统简明扼要,线路易于梳理,节约成本;缺点是输出功率不高。 综上所述,我们选择第二种方案。 (2) 显示界面方案: 该系统要求完成数码管倒计时(15s)、状态灯发光二极管(红、黄、绿)的显示功能。基于上述原因,我们考虑了二种方案: 方案一:东西南北四个方向分别采用两位数码管显示倒计时。这种方案只显示有限的符号和数码字苻,并且制作PCB图时有许多的线相交,线路十分的复杂,不易制作原理图与PCB图,无法胜任题目要求。 方案二:东西南北四面各自采用红、黄、绿三个发光二极管显示,采用一个两位数码管显示倒计时,主要优点是易于调整元件在硬制板上摆放的位置,同时也易于PCB图的制作。 综上所述,我们选择方案二。 (3) 输入方案: 题目要求系统能即时的处理外部紧急情况产生的中断,我们讨论了两种方案: 方案一:采用矩阵键盘。该方案的优点是: 可提供较多I/O 口,实现更多的外部中断。直接站单片机的接口少的特点,但操作起来稍显复杂,而且编程也趋于复杂。 方案二:直接在IO口线上接上按键开关。因为设计时精简和优化了电路。 由于该系统对于交通灯等发光二极管的控制,只用单片机本身的I/O 口就可实现,只要一个开关来模拟一个外部的紧急中断,且本身的计数器及RAM已经够用,故选择方案二。 (4) 系统方案: 本系统的硬件采用模块化设计,以单片机控制器为核心,与LED信号灯电路等组成单片机控制信号系统.

单片机交通灯课程设计报告(含电路图,源程序)

1.引言................................................................. 错误!未定义书签。 2.总体设计方案 (2) 2.1. 设计思路 (2) 2.1.1.设计目的 (2) 2.1.2.设计任务和内容 (3) 2.1.3.方案比较、设计与论证 (3) 2.1.4.芯片简介 (6) 2.2. 设计方框图 (12) 3.设计原理分析 (13) 3.1. 交通灯显示时序的理论分析与计算 (13) 3.2. 交通灯显示时间的理论分析与计算 (15) 3.3. 电路模块 (16) 3.3.1.LED数码管显示模块 (16) 3.3.2.LED红绿灯显示模块 (19) 3.3.3.复位电路 (22) 3.3.4.晶振电路 (23) 4.结束语 (23)

6.附录 (24) 6.1. 附录1:程序清单 (24) 6.2. 附录2:电路设计总图 (32) 6.3附录3:实物图 ....................................... 错误!未定义书签。1.总体设计方案 1.1.设计思路 1.1.1.设计目的 (1)加强对单片机和汇编语言的认识,充分掌握和理解设计各部分的工作原理、设计过程、选择芯片器件、模块化编程等多项知 识。 (2)用单片机模拟实现具体应用,使个人设计能够真正使用。(3)把理论知识与实践相结合,充分发挥个人能力,并在实践中锻炼。 (4)提高利用已学知识分析和解决问题的能力。 (5)提高实践动手能力。

1.1. 2.设计任务和内容 1.1. 2.1.设计任务 单片机采用用AT89S52芯片,使用发光二极管(红,黄,绿)代表各个路口的交通灯,用8段数码管对转换时间进行倒时(东西路口15秒,南北路口25秒,黄灯时间5秒)。 1.1. 2.2.设计内容 (1)设计并绘制硬件电路图 (2)制作PCB并焊接好元器件 (3)编写程序并将调试好的程序固化到单片机中 1.1.3.方案比较、设计与论证 1.1.3.1.电源提供方案 为使模块稳定工作,须有可靠电源,采用单片机控制模块提供电源。此方案的优点是系统简明扼要,节约成本;缺点是输出功率不高。综上所述,我们选择第二种方案。 1.1.3. 2.复位方案 复位方式有两种:按键复位与软件复位。由考虑到程序的简洁,避免冗长,本设计采用按键复位,在芯片的复位端口外接复位电路,通过按键对单片机输入一个高电平脉冲,达到复位的目的。

一个十字路口的交通灯控制系统设计报告

一个十字路口的交通灯控制系统 一、设计内容和要求: 利用AT89C51单片机设计一十字路口交通灯控制系统。 1:系统主要由单片机、数码管、交通灯组成。 2:具有人行道和基本的交通灯的功能。 3:具有数码管倒计时功能。 4:要求东西和南北车道的车辆交替运行,每次通行为25秒。 5:要求黄灯先亮3秒,才能变换车道,黄灯亮时要求闪亮。 6:有紧急情况的处理办法(如急救车来时)。 二、总体方案设计 本设计研究的是基于AT89C51单片机的交通灯智能控制系统。根据交通控制系统的设计原理,阐述了硬件和软件方面开发的整个过程。主控系统采用AT89C51单片机作为控制器,控制通行倒计时及直行、行人的通行。并设计了有紧急情况(如急救车到来)时的处理办法。 三、系统的硬件设计 (1)本系统选用通过P0到P3口用做输出显示控制口。P0口用作输出南北方向LED数码管字符编码,P2口用作输出东西方向LED数 码管字符编码。P1.1—P1.4口用于位选及输出南北方向发光二极 管。P1.5和P1.6用作南北方向人行道的控制灯。P1.7和P3.7用作

东西方向人行道的控制灯。P3.0—P3.6口用于位选及输出东西方向 发光二极管。P3.2和P3.3用作外部中断。 (2)LED数码管采用动态显示方式实现倒计时读秒,并且本系统采用的是LED的共阳极接法。 (3)LED动态显示,在多位LED显示时,为了简化电路,降低成本,将段选位并联在一起,由一个八位的I/O口控制,而位选由另一个 口控制,段选码、位选码每次送入后演示1ms,而人的视觉暂留 时间为0.1s,所以在人看来数码管一直亮着,从而在应用中通过动 态扫描的方法显示。 四、设计原理分析 (1)从十字路口交通灯示意图分析可知:东西、南北方向信号灯控制是中心对称的,即无论是主干道还是支干道两侧系统对同方向的信 号灯控制是同步的。 (2)从示意图分析可知,人行道各个方向,系统对两侧的信号灯的控

单片机课程设计报告 - 十字路口交通灯控制

宁夏大学新华学院课程考核

绪论 主要内容: 随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。模拟东西南北方向的十字路口交通灯信号控制情况。以89C51单片机为核心芯片,采用中断方式实现控制。本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。和复位电路控制电路等组成,较好的模拟了交通路面的控制。

基本要求: 利用单片机的定时器产生秒信号,控制十字路口的红、绿、黄灯交替点亮和熄灭,并且用4只LED数码管显示十字路口两个方向的剩余时间。要求能用按键设置两个方向的通行时间(绿、红等点亮的时间)和暂缓通行时间(黄灯点亮的时间)。 系统的工作应符合一般交通灯控制的要求。 参考文献: [1] 张毅刚,彭喜元编著.《单片机原理与应用设计》 [2] 郭天祥编著.《新概念51单片机C语言教程:入门、提高、开发、拓展全攻略》 [3]编写本课程设计内容的软件设计(包含程序流程图和对程序注释)。 [4]硬件实验部分可选用实验箱测试或Proteus仿真软件实现。

交通灯课程设计报告

摘要 在今天的交通情况下,很多路口都出现拥堵和秩序混乱的情况,由此可见交通灯在生活中的重要性。我们本次课程设计的题目是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器。我们小组成员通过共同交流和努力,完成了仿真图的设计、电路板的焊接、原理图的绘制。在由主干道和支干道汇成的十字路口,主、支道分别装有红、绿、黄三色信号灯,并完成数码管的置数。 通过本次课设,我们小组成员对数字电路的知识有了更深刻地了解。明白了在课设的各个阶段,我们都必须对元器件的原理非常了解。

目录 1 设计容及要求 (1) 2 方案论证 (1) 3 单元设计电路 (2) 3.1 总原理 (2) 3.2 控制电路 (3) 3.3 时钟产生电路 (3) 3.4 显示电路 (4) 3.5 器件 (5) 3.5.1可预置的十进制同步计数器74LS160 (5) 3.5.2 3 线-8 线译码器74LS138 (5) 3.5.3双时钟方式的十进制可逆计数器74LS192 (bcd,二进制) (6) 3.5.4 七段码译码器CD4511 (6) 4 组装及调试 (7) 4.1 通电前检查 (7) 4.2 通电检查 (7) 4.2.1 555电路模块的检查 (7) 4.2.2 CD4511的检查 (7) 4.2.3 74LS192的检查 (8) 4.2.4 控制电路及相关门电路的检查 (8) 4.2.5 发光二极管的检查 (9) 4.3 结果分析 (9) 5 设计总结 (10) 5.1 体会 (10) 5.2 设计电路的特点和方案的优缺点 (11) 5.3 改进方法 (11) 参考文献、附录Ⅰ、附录Ⅱ............................................................................ 错误!未定义书签。

简易交通灯控制器的设计报告

一、概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超 级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 智能交通灯系统正是解决这一矛盾的途径之一。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能 源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。 二、方案论证 设计一个简单的交通灯控制器。实际上就是四个平时状态加上一个紧急状态。我们不妨设: S1:南北方向红灯亮,东西方向绿灯亮,时间15s; S2:南北方向红灯亮,东西方向黄灯亮,时间3s; S3:南北方向绿灯亮,东西方向红灯亮,时间l5s; S4:南北方向黄灯亮,东西方向红灯亮,时间3s; S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。 图1 主电路状态与指示灯状态转换

S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。剩余的四个状态我们可以放在一起来综合考虑。 因为四个状态是轮换的。首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。 最后,就可以基本实现红绿灯的简单控制。 图2 红绿灯控制器的基本流程图 三、电路设计 1.脉冲产生电路 脉冲是由555时基电路构成的多谐振荡器产生的。选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:

微机原理-交通灯课程设计报告

微型计算机原理及应用课程设计说明书 交通灯控制系统设计 班级:1401班 姓名: 学号: 指导教师: 日期:2016年6月

一.课程设计目的: 在车辆日渐增多的今天,人们也越来越关注交通问题,而交通灯在安全行车过程中无疑起着十分重要的作用。现在交通灯一般都设在十字路口,用红、绿、黄三种颜色的指示灯和一个倒计时的显示计时器来控制行车, 对一般情况下的安全行车、车辆分流发挥着作用, 但根据实际行车过程中出现的情况, 主要有如下几个缺点: 1、车道轮流放行时间相对固定, 不能根据实际情况中两个车道的车辆多少来设置改变通行时间;2、没有考虑紧急车辆通过时, 两车道应采取的措施。譬如, 有消防车通过执行紧急任务时, 两个车道的车都应停止, 让紧急车辆通过。因此如何合理高效地利用交通灯指示交通情况,是一个亟需解决的问题。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义 二.课程设计内容: 设有一个十字路口,1、3为南北方向,2、4为东西方向。初始为四个路口的红灯全亮,之后,1、3路口的绿灯亮,2、4路口的红灯亮,1、3路口方向通车;延时一段时间后,1、3路口的绿灯开始闪烁,闪烁若干次以后,1、3 路口黄灯亮,后1、3路口红灯亮,而同时2、4路口的绿灯亮,2、4路口方向通车;延时一段时间后,2、4 路口的绿灯熄灭,而绿灯开始闪烁,闪烁若干次以后,1、3路口红灯亮,而2、4路口黄灯亮,再切换到1、3路口方向,之后重复上述过程。 三.问题分析及硬件介绍: 本次课程设计的内容为利用8086、8255等接口,实现控制十二个二极管亮灭的过程。需要PC机一台,8255并口:用做接口芯片。LED:共12个LED灯。还有8086芯片,8253a定时器等器材. 将8086和74273、74154和8255连接起来.需用到8255的六个输出端口。所以要求8255工作在方式0,因为二极管是共阳的,所以输出低电平二极管才会亮。8086用作cpu,三个74273是锁存器,锁存地址。 用软件proteus7.8画出电路图,加载程序到8086cpu,进行模拟。 系统硬件设计

交通灯控制器课程设计报告

. 中南林业科技大学 课程设计报告 设计名称:交通灯控制器 姓名: 学号: 专业班级: 院(系): 一、课程设计题目:交通灯控制器

时间:2015年6月29日至7月13日 地点: 指导老师: 二、课程设计目的 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下: 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮. 三、课程设计方案 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下:

四、课程设计原理 脉冲信号发生器由定时器555构成。 二进制加法计数器由七位二进制加法计数器4024构成。 十进制减法计数器由74LS193可逆可预置十进制计数器构成。 组合逻辑电路根据其输入输出的逻辑关系后再确定电路芯片。 驱动器选用4511。 从以上讨论可知,需要对所采用的芯片有比较详细的了解。下面对以上几种芯片的基本知识和基本特性进行介绍。 1、555定时器 555定时器是一块常用的集成电路,电路符号如左图所示,8为电源端VCC,1为公共端GND。所加电源电压围:4.5V

FPGA实验报告-交通灯控制器设计

FPGA实验报告 --交通灯控制器设计 院系:电子与信息工程系 专业:通信工程 班级: 姓名: 学号: 指导教师:

一、实验任务 1、任务名称:交通灯控制器的设计 2、设计容与要求: ① 设计一个十字路口交通信号灯的定时控制电路。要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,并将运行时间用数码管/液晶显示出来。 ② 绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。要求主干道每次通行时间为99秒,支干道每次通行时间为30秒。每次变换运行车道前绿灯闪烁,持续时间为5秒。即车道要由主干道转换为支干道时,主干道在通行时间只剩下5秒钟时,绿灯闪烁显示,支干道仍为红灯,以便主干道上已过停车线的车继续通行,未过停车线的车停止通行。同理,当车道由支干道转换为主干道时,支干道绿灯闪烁显示5秒钟,主干道仍为红灯。 ③ 对红、绿灯的运行时间要能比较方便的进行重新设置。 ④ 对器件进行在系统编程和实验验证。 ⑤ 用VHDL 语言对设计进行描述,设计一个测试方案,通过ISE 对设计进行仿真验证。并能够下载到实验板上调试成功。 6 写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。 2、补充功能与要求: 1.在主干道和支干道添加左转向灯; 2.各灯亮的时间及最后闪烁时间可调节; 3.紧急路况时,主干道和支干道都为红灯。 二、实验环境 1、ISE 软件一套; 2、PC 机一台。 三、设计思路 1、根据题目要求,知道整个交通灯的运行过程是周期的,所以可以设计一个总的计数器,满周期则清零; 2、将灯闪烁时间、主干道绿灯亮的时间、主干道转向灯亮的时间、支干道绿灯亮的时

数电交通灯课程设计报告

电子技术课程 设计报告 二 级 学 院 机械与电子工程学院 专 业 电气工程及其自动化 年 级 2014级 学 号 14106***** 学 生 姓 名 苏 运 指 导 教 师 杨** 完 成 时 间 2015 年12 月25 日 简易交通灯的设计

简易交通灯的设计 作者:苏运 指导老师:杨** 摘要:利用数码管,74LS48译码器、74LS192计数器、ne555、与非门、或非门、触发器、蜂鸣器和小彩灯等电子元件,制作简易交通灯,实现15秒倒计时开始后,到达5秒时红灯熄灭,黄灯闪烁同时蜂鸣器响,到达0秒时切换到另外两个方向的红绿灯亮的功能。经测试,系统达到红绿灯转换和显示的基本要求,具有电路美观稳定性高的优点。 关键词:数电交通灯;交通灯;简易交通灯;计数器

目录 1 设计要求及方案选择 (1) 1.1设计要求 (1) 1.2方案选择 (1) 2 理论分析与设计 (1) 2.1脉冲发生器电路的分析及设计 (1) 2.2定时器电路的分析及设计 (2) 2.3交通灯和蜂鸣器电路的分析及设计 (3) 2.4控制器电路的分析及设计 (4) 2.5数码显示电路的分析及设计 (4) 3电路设计 (5) 3.1脉冲发生器电路的设计 (5) 3.2定时器电路的设计 (6) 3.3交通灯和蜂鸣器电路的设计 (6) 3.4控制电路的设计 (7) 3.5码显示电路的设计 (9) 3.6电源开关和指示灯电路的设计 (9) 4系统测试实验 (10) 4.1调试所用的基本仪器清单 (10) 4.2软件仿真调试 (10) 4.3实物电路板的调试 (10) 4.4时钟脉冲信号的测定 (10) 4.5调试结果 (11) 4.6测试结果分析 (11) 5 总结 (12) 附录 (13) 附录A 仿真图 (13) 附录B 原理图 (13) 附录C PCB图 (14) 附录D 实物图 (14) 附录E 脉冲信号测试图 (15) 附录F 元件清单 (15) 参考文献 (16)

交通灯课程设计报告

摘要 在今天的交通情况下,很多路口都出现拥堵与秩序混乱的情况,由此可见交通灯在生活中的重要性。我们本次课程设计的题目就是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器。我们小组成员通过共同交流与努力,完成了仿真图的设计、电路板的焊接、原理图的绘制。在由主干道与支干道汇成的十字路口,主、支道分别装有红、绿、黄三色信号灯,并完成数码管的置数。 通过本次课设,我们小组成员对数字电路的知识有了更深刻地了解。明白了在课设的各个阶段,我们都必须对元器件的原理非常了解。

目录 1 设计内容及要求 (1) 2 方案论证 (1) 3 单元设计电路 (2) 3、1 总原理 (2) 3、2 控制电路 (3) 3、3 时钟产生电路 (3) 3、4 显示电路 (4) 3、5 器件 (5) 3、5、1可预置的十进制同步计数器74LS160 (5) 3、5、2 3 线-8 线译码器74LS138 (5) 3、5、3双时钟方式的十进制可逆计数器74LS192 (bcd,二进制) (6) 3、5、4 七段码译码器CD4511 (6) 4 组装及调试 (7) 4、1 通电前检查 (7) 4、2 通电检查 (7) 4、2、1 555电路模块的检查 (7) 4、2、2 CD4511的检查 (7) 4、2、3 74LS192的检查 (8) 4、2、4 控制电路及相关门电路的检查 (8) 4、2、5 发光二极管的检查 (9) 4、3 结果分析 (9) 5 设计总结 (10) 5、1 体会 (10) 5、2 设计电路的特点与方案的优缺点 (11) 5、3 改进方法 (11) 参考文献、附录Ⅰ、附录Ⅱ.................................................................. 错误!未定义书签。

智能交通灯系统课程设计报告

江苏师范大学物电学院课程设计报告 课程名称:单片机课程实训 题目:智能交通灯系统 专业班级: 学生姓名: 学生学号: 日期: 指导教师:

说明: 1、报告中的第一、二、三项由学生在课程设计开始前填写,由指导教师指 导并确认签字。 2、学生成绩由指导教师根据学生的设计情况给出各项分值及总评成绩,并 填写成绩评定表。 3、所有学生必须参加课程设计的答辩环节,凡不参加答辩者,其成绩一律 按不及格处理。答辩小组成员应由2人及以上教师组成。答辩后学生根据答辩情况填写答辩记录表。 4、报告正文字数一般应不少于3000字,也可由指导教师根据本门课程设 计的情况另行规定。 5、平时表现成绩低于6分的学生,取消答辩资格,其该课程设计成绩按不 及格处理。 6、课程设计完成后,由指导教师根据完成情况写出总结。 7、此表格式为江苏师范大学物理与电子工程学院提供的基本格式,指导教 师可根据本门课程设计的特点及内容做适当的调整。

一、课程设计目的、任务和内容要求: 通过该课程设计使学生进一步了解和加深智能化仪器设计的一般原则;熟练掌握智能化仪器与装置的软、硬件设计方法;掌握仪器的软件调试及软硬件联合统调方法与技能。掌握仪器的接口技术和程控方法;熟练掌握仪表总线的工作原理、设计步骤、编程及调试;掌握C设计软件的编程与调试方法;掌握网络化仪器设计编程与调试方法。 本课程设计的任务就是设计一个智能交通灯系统。鼓励学生在熟悉基本原理的前提下,与实际应用相联系,提出自己的方案,完善设计。 具体设计任务如下: 1.熟悉QG8芯片与ISD语音模块的工作原理; 2.写出智能交通灯系统的设计方案; 3.用硬件加以实现; 4.写课程设计报告。 设计要求: 1.数码管显示倒计时,且时间可调; 2.红绿灯亮时间与数码管一致; 3.红灯亮时对应语音模块提示; 4.绿灯亮时对应语音模块提示。

交通灯设计报告

交通灯设计报告 1

一.设计要求 (1)在十字路口的两个方向上各设一组红灯、绿灯、黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、黄灯、绿灯。 (2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别为20s、5s、25s。 (3)当各条路中任意一条上出现特殊情况,例如有消防车、救护车或其它需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 二.设计原理及框图 交通灯控制系统的原理框图如图1所示,它主要由秒脉冲发生器,时间显示器,倒计时计数器,计数控制器,交通灯控制器,交通显示灯,紧急开关构成。秒脉冲发生器是该系统中定时器的标准时钟信号源,同时控制着正常工作时黄灯与特殊情况下数码管数字的闪烁,倒计时计数器控制器控制倒计时计数器,倒计时计数器输出的数字经过时间显示器显示在数码管上。交通控制器

控制交通显示灯的亮灭,交通控制灯的输入信号由紧急开关和倒计时计数器共同提供。 图一:交通灯控制系统的原理框图

两方向车道的交通灯的运行状态共有4种,如图2所示,它们转换到数字状态如下图。 TF: 表示甲车道或乙车道红灯亮的时间间隔为25秒,当TF=0时,甲车道为红灯,25秒倒计时;当TF=1时,乙车道为红灯,25秒倒计时。 TS=0 TS=1 TF=0 TF=1 TF=0 TF=1 状态0 状态1 状态2 状态3

TS:表示倒计时到5秒和20秒。TY=0倒计时20秒,否则,TY=1倒计时5秒 一般十字路口的交通灯控制系统的工作过程如下: (1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道禁止 通行。此时TF=0,TS=0;绿灯亮足规定的时间隔20s,倒计时计数器发出状态转换信号使TS=1,使计数控制器使TS=1转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔5s时,倒计时计数器发出状态转换信号使TF=1,TS=0,使控制器控制译码器转到下一工作状态。 (3)甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车辆允许通行,绿灯亮足规定的时间间隔20s时,倒计时计数器发出状态转换信号使TS=1,使控制器控制译码器转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔5s 时,倒计时计数器发出状态转换信号使TF=0,TS=0,使计数控制器转到下一工作状态,即系统又转换到第(1)种工作状态。

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

简易交通灯控制器设计实验六报告格式

浙江万里学院实验报告 成绩: 教师:胡俊杰 课程名称: 可编程逻辑器件应用 实验名称: 实验六 简易交通灯控制器设计 专业班 姓名: 学号: 实验日期一、实验目的:掌握有限状态机的描述,掌握Verilog语言的多个过程语句(always)的应用,练习复杂时序电路设计。 二、实验内容: 简易交通信号控制器设计 1)设计目标: 1、在交通灯系统中,路口需要红、黄、绿三盏灯,并且每个路口都有倒数的计时器。 2、假设绿灯每次维持的时间是30s,黄灯为6s,红灯36s,绿灯亮 时最后3秒以0.5s亮灭的频率闪烁。 3、A方向绿灯或黄灯亮时,B方向红灯亮;B方向绿灯或黄灯亮时, A方向红灯亮。 2)提高要求:(可以完成其中一项或两项) 1、A向和B向的绿灯、黄灯亮的时间可以通过端口预置成不同时间,红灯亮的时间由模块内计算得到。 2、计数器用2位十进制倒计时,并且经显示译码用数码管显示。 3、红灯状态开始时,最初2秒不显示。 三、设计过程 1)交通灯工作过程时序分析 本次设计是针对十字路口,进行南北和东西直行情况下交通灯控制。设定东西方向为主干道方向,根据交通灯的亮的规则,在初始状态下四个方向的都为红灯亮启,进入正常工作状态后,当主干道上绿灯亮时,支干道上红灯亮,持续35S后,主干道和支干道上的黄灯都亮启,持续5S后,主干道上红灯亮启,支干道上绿灯亮启持续25S,之后主干道和支干道上的黄灯都亮启5s,一个循环完成。循环往复的直行这个过程。其过程如下图所示: 图1.交通灯点亮时间控制说明

2)工作状态划分以及状态转换 根据上章设计原理,交通灯控制的关键是各个状态之间的转换和进行适当的时间延时,根据状态机的设计规范,本次设计了三个状态之间的循环转化,其真值表及状态转化图如下所示: 图2.交通灯控制状态转化 说明:该状态图为交通灯在正常情况下的状态转化图,进入控制后,状态00时主干道绿灯及支干道红灯亮起,进入状态01后两路黄灯亮起,状态11时主干道红灯及支干道绿灯亮起。进入10状态两路黄灯亮起。结束一个循环,从00状态重新开始循环。 为实现控制与显示的功能,需要设计交通灯点亮顺序控制程序,倒数计时程序,七段数码管显示程序,数码管显示扫描程序,其系统结构图如下所示: 图3.交通灯控制系统结构图 其中rst为复位信号,clk为时钟信号,hold为特殊情况控制信号,输入hold时两个方向红灯无条件亮起。 3)每个状态对应红黄绿等的工作分析 根据整体设计要求,编写各个功能部分Verilog HDL程序,设置各输入输出变量说明如下 clk:为计数时钟; qclk:为扫描显示时钟; en:使能信号,为1 的话,则控制器开始工作; rst:复位信号,为1的话,控制及技术回到初始状态; hoid:特殊情况控制信号,为1的话,则两个方向无条件显示为红灯; light1:控制主干道方向四盏灯的亮灭;其中,light1[0]~light[2],分别控制主干道方向的绿灯、黄灯和红灯; light2:控制支干道方向四盏灯的亮灭;其中,light2[0] ~ light2[2],分别控制支干道方向的 绿灯、黄灯和红灯; num1:用于主干道方向灯的时间显示,8 位,可驱动两个数码管; num2:用于支干道方向灯的时间显示,8 位,可驱动两个数码管; counter:用于数码管的译码输出; st1,st2:数码管扫描信号。 输入输出及中间变量设置如下: module traffic(en,clk,qclk,rst,rst1,hold,num1,num2,light1,light2,counter,st1,st2); input en,clk,qclk,rst,hold,rst1;

相关文档
最新文档